《计算机组成与系统结构》考试试卷

《计算机组成与系统结构》考试试卷
《计算机组成与系统结构》考试试卷

一.填空题(填空每空1分,共10分;选择填空每空2分,共20分) 1.计算机系统中的存贮器系统是指___D___。

A RAM存贮器

B ROM存贮器

C 主存贮器

D cache、主存贮器和外存贮器

2.某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小数为___B___。

A +(1 – 2-32)

B +(1 – 2-31)

C 2-32

D 2-31

3.算术/ 逻辑运算单元74181ALU可完成___C___。

A 16种算术运算功能

B 16种逻辑运算功能

C 16种算术运算功能和16种逻辑运算功能

D 4位乘法运算和除法运算功能

4.存储单元是指___B___。

A 存放一个二进制信息位的存贮元

B 存放一个机器字的所有存贮元集合

C 存放一个字节的所有存贮元集合

D 存放两个字节的所有存贮元集合;

5.相联存贮器是按___C___进行寻址的存贮器。

A 地址方式

B 堆栈方式

C 内容指定方式

D 地址方式与堆栈方式

6.变址寻址方式中,操作数的有效地址等于___C___。

A 基值寄存器内容加上形式地址(位移量)

B 堆栈指示器内容加上形式地址(位移量)

C 变址寄存器内容加上形式地址(位移量)

D 程序记数器内容加上形式地址(位移量)

7.以下叙述中正确描述的句子是:___D___。

A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作

B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作

C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作

D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作

8.计算机使用总线结构的主要优点是便于实现积木化,同时___C___。

A 减少了信息传输量

B 提高了信息传输的速度

C 减少了信息传输线的条数

D 加重了CPU的工作量

9.带有处理器的设备一般称为___A___设备。

A 智能化

B 交互式

C 远程通信

D 过程控制

10.某中断系统中,每抽取一个输入数据就要中断CPU一次,中断处理程序接收取样的数

据,并将其保存到主存缓冲区内。该中断处理需要X秒。另一方面,缓冲区内每存储N

个数据,主程序就将其取出进行处理,这种处理需要Y秒,因此该系统可以跟踪到每

秒___A___次中断请求。

A.N / (NX + Y) B. N / (X + Y)N C .min[1 / X ,1 / Y] D. max[1 / X ,1 / Y]

1.存储___ 程序___并按___地址___顺序执行,这是___冯·诺依曼___型计算机的工作原理。

2.移码表示法主要用于表示___浮点___数的阶码E,以利于比较两个___指数___的大小和___对阶___操作。

3.闪速存储器能提供高性能、低功耗、高可靠性及___瞬时启动___能力,为现有的___存储器___体系结构带来巨大变化,因此作为___固态盘___用于便携式电脑中。

4.微程序设计技术是利用___软件___方法设计___操作控制___的一门技术。具有规整性、可维护性、___灵活性___等一系列优点。

5.衡量总线性能的重要指标是___总线带宽___,它定义为总线本身所能达到的最高___传输速率___。PCI总线的带宽可达___264MB / S___。

6. 在计算机术语中,将运算器、控制器、cache合在一起,称为___CPU___,而将

___CPU___和存储器合在一起,成为___主机___。

7. 半导体SRAM靠___触发器___存贮信息,半导体DRAM则是靠___栅极电容___存贮信息。

8. CPU ___存储器___取出一条指令并执行这条指令的时间和称为___指令周期

___。由于各种指令的操作功能不同,各种指令的指令周期是___不相同的___。

9. 总线是构成计算机系统的___互连机构___,是多个___系统功能___部件之间

进行数据传送的___公共___通道。

10. DMA控制器按其___组成___结构,分为___选择___型和___多路___型两种。

二.判断题(每小题1分,共10分)

1、存储单元是存放一个二进制信息的存贮元。×

2、集中式总线控制中,定时查询方式的响应速度最快。×

3、主程序运行时何时转向为外设服务的中断服务程序是预先安排好的。×

4、时序电路用来产生各种时序信号,以保证整个计算机协调地工作。√

5、采用下址字段法控制微程序执行顺序的微程序控制器中,一定要有微程序计数器。×

6、引入虚拟存储系统的目的是提高存储速度。×

7、DMA方式进行外设与主机交换信息时,不需要向主机发出中断请求。×

8、CPU以外的设备都称外部设备。×

9、第三代计算机所用的基本器件是晶体管。×

10、CPU访问存储器的时间是由存储器的容量决定的,存储容量与越大,访问存储器所需的时间越长。×

三.简答题(每小题5分,共15分)

1、与程序中断控制方式相比DMA控制方式有何特点?

答:速度快。响应快、优先级高、处理快、无须现场保护和现场的恢复。但是应用范围没有程序中断控制方式广。

2、微程序控制的基本思想是:把指令执行所需要的所有控制信号存放在控制存储器中,需要时从这个存储器中读取,即把操作控制信号编成微指令,存放在控制存储器中。一条机器指令的功能通常用许多条微指令组成的序列来实现,这个微指令序列称为微程序。微指令在控制存储器中的存储位置称为微地址。

3、中断接口一般包含哪些基本组成?简要说明它们的作用。

答:①地址译码。选取接口中有关寄存器,也就是选择了I/O设备;

②命令字/状态字寄存器。供CPU输出控制命令,调回接口与设备的状态信息;

③数据缓存。提供数据缓冲,实现速度匹配;

④控制逻辑。如中断控制逻辑、与设备特性相关的控制逻辑等。

四.计算题(每小题10分,共20分)

1、CPU执行一段程序时,cache完成存取的次数为5000次,主存完成存取的次数

为200次。已知cache存取周期为40ns,主存存取周期为160ns。求:

1.Cache 命中率H。

2.Cache/主存系统的访问效率e。

3.平均访问时间Ta。

解:①命中率 H = Nc/(Nc+Nm) = 5000/(5000+2000)=5000/5200=0.96

②主存慢于cache的倍率 R = Tm/Tc=160ns/40ns=4

访问效率:

e=1/[r+(1-r)H]=1/[4+(1-4)×0.96]

=89.3℅

③平均访问时间Ta=Tc/e=40/0.893=45ns

2、用16K ×1位的DRAM芯片构成64K × 8位的存储器。要求:

(1)画出该芯片组成的存储器逻辑框图。

(2)设存储器读/ 写周期均为0.5μs,CPU在1μs内至少要访存一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍,所需实际刷新时间是多少?

解:(1)根据题意,存储器总量为64KB,故地址线总需16位。现使用16K×1位的动态RAM芯片,共需32片。芯片本身地址线占14位,所以采用位并联与地址串联相结合的方法来组成整个存储器,其组成逻辑框图如图B9.3,其中使用一片2 :4译码器。

(2)根据已知条件,CPU在1μs内至少需要访存一次,所以整个存储器的平均读/ 写周期与单个存储器片的读 / 写周期相差不多,应采用异步刷新比较合理。对动态MOS存储器来讲,两次刷新的最大时间间隔是2μs。RAM芯片读/ 写周期为0.5μs,

假设16K ×1位的RAM芯片由128 × 128矩阵存储元构成,刷新时只对128行进行异步方式刷新,则刷新间隔为2m / 128 = 15.6μs,可取刷新信号周期15μs。

图 B 9.3

五.设计题(每小题10分,共10分)

1. 机器字长32位,主存容量为1MB,16个通用寄存器,共32条指令,请设计双地址指令格式,要求有立即数、直接、寄存器、寄存器间接、变址、相对六种寻址方式。

解:根据题意,有32种操作码,故OP字段占5位,16个通用寄存器各占4位(源、目的);寻址模式字段X占3位;剩余字段D为立即数和直接寻址使用,指令格式如下:

X=000, 立即数=D

X=001, 直接寻址,E=D

X=010, 寄存器直接寻址

X=011, 寄存器间接寻址, E=(R)

X=100, 变址寻址,E=(R) +D

X=101, 相对寻址,E=(PC)+D

六.综合题(每小题15分,共15分)

1.有一台磁盘机,其平均寻道时间为了30ms,平均旋转等待时间为120ms,数据

传输速率为500B/ms,磁盘机上存放着1000件每件3000B的数据。现欲把一件数据取走,更新后在放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,,并且更新时间同输入输出操作不相重叠。试问:

(1)磁盘上全部数据需要多少时间?

(2)若磁盘及旋转速度和数据传输率都提高一倍,更新全部数据需要多少间?

.

解:

(1)磁盘上总数据量= 1000×3000B = 3000000B

读出全部数据所需时间为3000000B ÷500B / ms = 6000ms

重新写入全部数据所需时间= 6000ms

所以,更新磁盘上全部数据所需的时间为:

2×(平均找道时间 + 平均等待时间 + 数据传送时间)+ CPU更新时间

= 2(30 + 120 + 6000)ms + 4ms = 12304ms

(2)磁盘机旋转速度提高一倍后,平均等待时间为60ms,

数据传输率提高一倍后,数据传送时间变为:

3000000B ÷ 1000B / ms = 3000ms

更新全部数据所需时间为:

2 ×(30 + 60 + 3000)ms + 4ms = 6184ms

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

2018基础会计期末考试卷和答卷

****学校 2015 学年第一学期期末考试试题 基础会计 适用班级: 8**** 班考试时间:120分钟满分:150分 题类一二三四五总分 得分 评分人一、填空题:请将正确答案填入横线中,本题共30 空,每空 复评人 0.5 分,共 15 分。 1. 会计是经济管理的重要组成部分,它是以为基本形式,运用专门的方法,对经济 活动进行和的一种管理活动。 2.我国会计法律规范体系的最高层次是 ______________,它是制定其他会计法规的依据,也是指 导会计工作的最高准则。 3.会计的七种核算方法包括、、填制和审核凭证, 成本计算、编制财务会计报告。 4.资产是指过去的、形成并由企业拥有或的、预期会给企业带来经济利益的资源。 5.借贷记账法下可以设置既反映资产又反映权益的的账户。若“应付账款”的期末余额在借方,该账户的性质属于。 6.会计凭证是记录、明确的书面证明,是登记账簿的依据。 (是会计核算的初始环节。 7.结账前发现记账凭证填制无误而账簿记录由于会计人员不慎出现笔误或计算失误,造成账上文字或数字错误,此种错账可采用“”。 8..=利润总额—所得税费用。 9.账户用来核算企业接受投资者投入资本的增减变动情况。 10.会计分录有三个要素,即确定;确定;确定应记金额。 11.对账就是核对账目,以保证账账相符,相符;相符。 12.按财产清查的范围与对象划分,可以分为和。 13.和统称留存收益。 14.某厂某日资产总额为 256 万元,所有者权益总额为103 万元,流动负债总额为50 万元,则 评分人二、单项选择题:请将唯一正确答案的编号填入答卷中,本题 复评人 共 30 题,每题 1 分,共 30 分。 其权益总额为,负债总额为,长期负债总额为。 () 1.反映财务状况的会计要素是。 A. 资产、负债、所有者权益 B.收入、费用、利润 C. 资产、收入、费用 D.收入、负债、所有者权益 () 2. 某企业 3 月 31 日“本年利润”账户贷方余额为15 万元,它表示。 A.1-3 月份累计净利润 B.3月份的利润总额 C. 从上年持续到本月底的利润 D. 1 至 3 月份累计亏损总额 () 3. 会计主要利用的计量单位是。 A. 实物计量 B.货币计量 C. 劳动计量 D. 工时计量 () 4. 会计的基本职能是。 A. 核算和监督 B.预测和决策 C. 监督和分析 D. 反映和核算 () 5. 下列属于流动资产的是。 A. 预收账款 B.应收账款 C.固定资产 D.短期借款 () 6. 所有者权益表现为投资者对企业的。 A. 资产总额的所有权 B. 资产总额的控制 C.净资产的所有权 D.净资产的管理权 () 7. 期末应将财务费用账户的本期发生额转入账户。 A. 生产成本 B.制造费用 C. 本年利润 D.利润分配 () 8. “有借必有贷,借贷必相等”的记账规则适用于。 A. 单式记账法 B. 收付记账法 C. 借贷记账法 D. 增减记账法 () 9. 下列属于企业销售过程中资金形态转化的是。 A. 货币资金转化为储备资金 B.储备资金转化为货币资金 C. 生产资金转化为成品资金 D.成品资金转化为货币资金 () 10. 从银行提取现金,一般应填制。 A. 现金收款凭证 B. 现金付款凭证 C. 银行存款收款凭证 D. 银行存款付款凭证 () 11. 现金日记账的外表形式一般为。 - 1 - --

期末考试试卷基础会计试卷及答案

武昌职业学院2014—2015学年度上学期期末考试卷 基础会计(闭卷) (B 卷) ………………………………………………………………………………………………… (本试卷满分100分,考试时间120分钟) 一、单项选择题(下列每小题的备选答案中,只有一个是符合题意的正确答案。请将选定答案所对应的英文字母填入题中括号内。每小题1分,共10分。错选、不选、多选均不得分也不扣分。) 1、长期负债是指偿还期限在( )的债务。 A 一年以上 B 超过一年的一个营业周期以上 C 、A 或B D 、一年以内 E 超过一年的一个营业周期以内 F 、D 或E 2、帐户的结构一般分为( )。 A 左右两方 B 上下两方 C 前后两方 D 发生额、余额两部分 3、预付职工差旅费时,应借记的科目为( ) A 应付帐款 B 管理费用 C 其他应收款 D 其他应付款 4、累计折旧帐户按用途和结构分,属于( )帐户。 A 资产类 B 资本类 C 盘存类 D 调整帐户 5、对于现金和银行存款相互划转的业务,在编制凭证时,应( )。 A 只编制收款凭证 B 既编制收款凭证,又编制付款凭证 C 编制转帐凭证 D 只编制付款凭证 6、在会计假设中,用来解决持续经营和及时提供会计信息矛盾的是( ) A 会计主体 B 持续经营 C 会计分期 D 货币计量 7、资产负债表中的"年初数"栏,应该根据( )填列。 A 上年末资产负债表的"期末数"栏 B 有关帐户的本期发生额

C有关帐户的期末余额 D期末余额和期初余额之差 8、下列帐户中,不属于资产类的是() A待摊费用 B预付帐款 C预提费用 D累计折旧 9、如果会计凭证中所记金额大于应记金额,并据以登记入帐,则正确的更正方法是() A划线更正法 B红字更正法 C补充登记法 D涂改或挖补法 10、职工子弟学校经费支出应计入()科目。 A其他业务支出 B营业外支出 C管理费用 D财务费用 二、多项选择题(下列每小题的备选答案中,有两个或两个以上是符合题意的正 确答案。请将选定答案所对应的英文字母填入题中括号内。每小题2分,共10 分。错选、不选、多选、少选均不得分也不扣分。) 1、总分类帐可以根据()来进行登记。 A记帐凭证 B科目汇总表 C汇总记帐凭证 D多栏式日记帐 E明细帐 F原始凭证 2、下列帐户中,适宜采用多栏式明细分类帐的有() A管理费用 B原材料 C应收帐款 D产成品 E应付帐款 F生产成本 3、借贷记帐法的借方登记的内容有() A资产、成本、费用的增加 B负债、所有者权益、收入的增加 C 资产、成本、费用的减少 D 负债、所有者权益、收入的减少 4、下列帐户中,属于所有者权益类的帐户有() A本年利润 B利润分配--未分配利润 C固定资产 D盈余公积 5.反映企业财务状况的会计要素有() A.资产 B.收入 C.费用 D.负债 E.所有者权益

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

2014二学期会计学基础期末考试试卷B卷答案

河北科技师范学院2014-2015学年二学期 课程考试试卷答案(B卷) 课程名称:会计学基础考试时间:120分钟年级:xxx级 专业:xxx 题目部分,(卷面共有64题,100分,各大题标有题量和总分) 一、单项选择(25小题,共25分) 1、我国《企业会计准则》规定,“会计核算以()为记账本位币; A、货币 B、美元 C、人民币 D、以上均可 答案:C 2、()要求不同会计主体会计核算按照规定的会计处理方法进行,会计指标应当口径一致,相互可比。 A、可比性原则 B、一惯性原则 C、明晰性原则 D、谨慎性原则 答案:A 3、下列不属于会计核算方法的有: A、设置会计帐户 B、数学分析方法 C、复式记帐 答案:B 4、会计的基本职能是。 A、核算和反映 B、预测经济前景 C、核算和监督 D、监督和控制 答案:C 5、对会计对象的具体内容进行的基本分类项目,在会计学中称为。 A、会计要素 B、会计报表 C、会计账户 D、会计科目 答案:A 6、对所以的会计事项不分轻重主次,采取完全相同的处理方法,不符合: A、一致性原则 B、客观性原则 C、重要性原则 答案:C 7、会计按其服务对象可分为。 A、企业会计和非营利组织会计 B、内部会计和外部会计 C、集团会计和公司会计 D、财务会计和管理会计 答案:D 8、企业按规定将资本公积金转增资本金,这笔经济业务反映的经济业务类型是 A、资产内部有关项目之间同时增加

B、资产和权益有关项目之间同时增加 C、权益内部有关项目之间同时减少 D、权益内部有关项目之间有增有减 答案:D 9、下列项目中属于流动资产的是。 A、预付账款 B、应付账款 C、预提费用 D、短期借款 答案:A 10、财务费用帐户属于( )帐户 A、财务成果 B、集合分配 C、期间汇转 D、结算 答案:C 11、待摊费用帐户属于( )帐户 A、盘存 B、调整 C、期间汇转 D、跨期摊提 答案:D 12、下列各项目中,属于企业负债的项目是。 A、应收账款 B、预收账款 C、待摊费用 D、银行存款答案:B 13、下列项目中属于长期负债的是。 A、应付工资 B、应付账款 C、应付债券 D、应付利润答案:C 14、下列项目中属于流动负债的是。 A、应收账款 B、预收账款 C、待摊费用 D、预付账款答案:B 15、预提费用账户属于: A、费用类帐户 B、负债类帐户 C、资产类帐户 答案:B 16、下列属于损益类科目的是。 A、管理费用 B、短期借款 C、待摊费用 D、应付债券答案:A 17、属于一个负债项目增加,另一个资产项目增加的经济业务有 A、以银行存款偿还前欠货款 B、购进设备,款项未付 C、将短期借款展期为长期借款 D、收回前欠货款存入银行 答案:B 18、存在着应借、应贷对应关系的账户称为()。 A、共同账户 B、对应账户 C、相关账户 D、借贷账户 答案:B

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

会计基础期末考试试题

一、填空题(每题2分,共10分) 1.期间费用包括____________、 ___________、 ___________. 2.__________________________会计核算工作的起点。 3._____________________是填制会计账簿的依据。 4.错账的更正方法有:___________ ____________ __________ 5.按照财产清产的范围分类,清查可以分为_________和________。 二、单项选择(每题2分,共30分) 1. 企业的资金运动由各个环节组成,它不包括( D )。 A.资金投入 B.资金运用 C.资金退出 D.资金增值 2. 根据《企业会计准则》的规定,下列时间段中,不作为会计期间的是( B ) A.年度 B.半月 C.季度 D.月度 3. 反映企业经营成果的会计要素,也称为动态会计要素,构成利润表的基本框架。下列不属于动态会计要素的是( B )。 A.收入 B.成本 C.费用 D.利润 4. 下列不属于非流动负债的是( A ) A.应付票据 B.应付债券 C.长期借款 D.长期应付款 5. 下列不属于总账科目的是( B ) A.原材料 B.甲材料 C.应付账款 D.应收账款 6. 下列原始凭证中,不属于单位自制原始凭证的是(C ) A.收料单 B.限额领料单 C.购货合同 D.领料单 7. 以下各项中,不属于原始凭证所必须具备的基本内容的是(C ) A.凭证名称、填制日期和编号 B.经济业务内容摘要 C.对应的记账凭证号数 D.填制、经办人员的签字、盖章 8. 下列不属于按外型特征不同分类的账簿是(A)

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

会计基础期末试卷A卷

北京财贸职业学院 《会计基础》期末考试试卷(A卷) (2011 -2012 学年第二学期) 答卷说明:注意合理安排时间,保持卷面整洁,字迹清楚。 考试类型:闭卷共 8页适用班级银行12345证券123 考试时间:120分钟 班级姓名学号 一、选择题。(1-10题为单选题,每题1分;11-20为多选题,每题2分,共 2、下列不属于对会计信息质量要求的是()。 A.重要性 B.谨慎性 C.可比性 D.权责发生制 3、下列属于企业流动资产的是() A.持有至到期投资 B.预收账款 C.应收账款 D.无形资产

4、下列不属于流动资产的是() A.预收账款 B.预付账款 C.应收账款 D.其他应收款 5、、下列不属于所有者权益的是()。 A.实收资本 B.资本公积 C.盈余公积 D.营业利润 6、下列各项支出中()应计入制造费用。 A.车间生产工人的工资 B.车间管理人员的工资 C.销售机构人员的工资 D.行政管理人员的工资 7、下列属于静态会计等式的是() A.收入-费用=利润 B.资产=负债+所有者权益 C.资产=负债+所有者权益+利润 D.资产=负债+所有者权益+(收入-费用) 8、收回50 000元银行存款的前欠货款。这项经济业务所引起的会计要素变动情况属于()。 A.一项资产与一项负债同时增加 B.一项资产与一项负债同时减少 C.一项资产增加,另一项资产减少 D.一项负债增加,另一项负债减少 9、应收账款账户期初借方余额为20000元,本期借方发生额为5000元,本期贷方发生额为2000元,该账户期末余额为()元 A.借方23000 B.借方17000 C.贷方23000 D.贷方17000 10、现金日记账和银行存款日记账属于()。 A.序时账 B.分类账 C.备查账 D.总账 11、会计的基本职能包括() A.会计核算

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

基础会计期末考试试题及答案

基础会计期末试题(Ⅰ卷)2017.01 一、单项选择题(30*2=60分) 1、关于发票,下列说法不正确的是 A:任何单位和个人对不符合规定的发票,有权拒收,并不得作为财务报销凭证B:未经税务机关批准,不得拆本使用发票 C:任何单位和个人只允许临时代开发票,不得转借转让发票 D:任何单位和个人在开具发票时,应当按照规定的时限、顺序,逐栏、全部 栏次一次性如实开具 2、下列属于原始凭证的是 A:购货合同 B:银行对账单 C:银行存款余额调节表 D:查旅费报销单 3、对于临时租入的固定资产 A:应在分类账中登记 B:应在备查账中登记 C:应在日记账中登记 D:应在明细账中登记 4、会计人员根据记帐凭证登账时,误将89000元记为98000元,更正这种错误应采用 A:画线更正法 B:红字冲销法C:补充登记法 D:除九法 5、库存商品明细账通常采用 A:三栏式 B:多栏式 C:数量金额式 D:横线登记式 6、某企业资产总额300万元,如果发生以下业务: (1)向银行借入期限为六个月的借款10万元,存入银行存款户 (2)以现金5万元发放职工工资 (3)收到A公司偿还的前欠货款8万元存入银行 (4)收到外商捐赠的设备一台,价值10万元。 上述业务发生后,企业资产总额为 A:323万元 B:315万元 C:313万元 D:300万元 7、借贷记账法的理论依据是 A、复式记账 B、成本计算 C、会计平衡公式 D、试算平衡 8、下列项目中不属于会计事项的是 A:支付员工的工资 B:车间领用各种原材料等

C:签定经济合同 D:接受他人各种形式的投资 9、下列项目中属于债权人权益的是 A:存货 B:长期股权投资 C:预收账款 D:固定资产 10、企业购入材料一批并验收入库,货款未付,该项经济业务不可能存在的原始凭证是A:增值税专用发票 B:转帐支票C:货运单据 D:材料入库单 11、下列项目中,可以不附有原始凭证的是 A:冲销多记的金额 B:产品入库 C:计提固定资产折旧 D:向银行提取现金 12、会计人员审核原始凭证时,发现其金额有错误,应由哪里进行更正 A:经办人 B:单位主要领导 C:原填制单位 D:会计主管人员13、为了分清会计事项处理的先后顺序,便 于记帐凭证和会计账簿之间的核对,确 保记账凭证的完整无缺,填制记账凭证 时应当 A:依据真实 B:日期正确 C:连续编号 D:简明扼要14、如果记账过程中出现将记账方向记反了,采用的错账查找方法是 A:差数法 B:尾数法 C:除2法 D:除9法 15、企业购进一批材料,当即以银行存款支 付一部分货款,余款暂欠,这笔业务发 生后应填制的记账凭证是 A:付款凭证一张 B:付款凭证两张 C:付款凭证和转账凭证各一张 D:转账凭证两张 16、记账凭证与所附的原始凭证的金额 A:必须相等 B:可能相等 C:可能不相等 D:一定不相等 17、下列哪顶不是现金清查的主要内容 A、是否存在挪用现金 B、是否存在白条抵库 C、是否存在超库存限额现金 D、是否存在未达账项 18、会计机构的内部分工,必须坚持“内部牵制原则”,其中()不符合这一原则。 A.出纳人员,除了登记现金、银行存款日记账以外,一般不能兼任其他会计 账簿的记帐工作。

《数字电路与数字逻辑》期末考试试卷A卷(1)

广西科技大学2015—2016学年第 1 学期课程考核 试题 考核课程数字电路与数字逻辑( A 卷)考核班级软件141、142 学生数 80 印数 85 考核方式闭卷考核时间 120 分钟 1、 选择题(每题2分,共20分) 1. 数字9的BCD码是1001,那么它的余三码是( A ) A 1100 B 1001 C 0110 D 1111 2. 若输入变量A、B全为1时,输出F为1,其余情况下,F为0,那么F与AB的关系是( B ) A B C D 3. 二进制数1100转换成十六进制数是( C ) A 12H B 0AH C 0BH D 0CH 4. 逻辑表达式( C ) A B C D 5. 组合逻辑电路通常由( A )组合而成。 A 门电路 B 计数器 C 触发器 D 寄存 器 6. 时序逻辑电路中一定包含( C ) A 门电路 B 计数器 C 触发器 D 寄 存器 7. 有2个与非门构成的基本RS触发器,如果要触发器输出保持不变,则( ) A B C D 8. T触发器,如果T端为高电平,那么CP脉冲来的时候,输出Q应( ) A 为0 B 为1 C 保持不变 D 翻

转 9. 时序逻辑电路输出状态的改变( ) A 仅与该时刻输入信号的状态有关 B 仅与时序电路的原状 态有关 C 与时序电路的原状态无关 D 与A、B两项皆有关 10. 以下( B )不是解决组合逻辑电路中竞争冒险现象的方法。 A 增加冗余项 B 使用卡诺图化简 C 增加选通脉冲 D 改变设计方法 2、 使用卡诺图法化简以下逻辑函数(每题5分,共20分) 1. 2. 3. 4. 3、 问答题(每题10分,共40分) 1. 分析下图所示的组合逻辑电路。 1) 写出逻辑表达式。(4分) 2) 画出真值表。(3分) 3) 说明其逻辑功能。(3分) 2. 现有一个T触发器,请使用必要的门电路将其转换成一个JK触发器。 3. 现有5个D触发器连接如下,RST是清零信号,清零之后 5个触

基础会计期末试题及答案

基础会计试题 一、单项选择(在下列各题的备选答案中选择一个正确的.并将其序号字母填入题后的括号里。每题2分,计20 分) 1.复式记账法是对每一笔经济业务,都以相等的金额在( ) 中进行登记。 A .一个账户 B .两个账户 C - 个或两个账户 D .两个或两个以上的账户 2.所有者权益类账户的期末余额是根据( ) 计算。 A .借方期末余额一借方期初余额十借方本期发生额一贷方本期发生额 B .借方期末余额一借方期初余额十贷方本期发生额一借方本期发生额 C .贷方期末余额=贷方期初余额十贷方本期发生额一借方本期发生额 D .贷方期末余额一贷方期初余额十借方本期发生额一贷方本期发生额 3.列引起资产内部此增彼减的交易、事项是( ) 。 A .用现金支付办公费 B .收回赊销款存入银行 C .赊购材料 D .以银行存款支付欠供应商货款 4 .按经济内容分类,“制造费用”账户属于( ) 账户。‘ A.成本类 B.共同类 C损益类. D.资产类 5 .将现金存入银行这笔业务,按规定应编制( ) 。 A.现金收款凭证 B.现金付款凭证 c.转账凭证 D.银行存款收款凭证 6.企业用现金支付某职工报销的市内交通费78 元,会计人员编制的付款凭证为 借 记管理费用87 元,贷记现金87 元,并登记入账。对当年发生的该项记账错误应采用的更正方法是( ) 。 A.划线更正法 B.红字更正法 C.重编正确的付款凭证 D.补充更正法 7.计提资产减值准备,体现了会计信息质量要求中的( ) 。 A.可靠性 B.相关性 C.谨慎性 D.重要性 8.资产负债表结构设置的依据是( ) 。 A.资产=负债十所有者权益+(收入一费用) B.资产十费用=负债十所有者权益十收入 C.资产=负债十所有者权益 D .资产一负债=所有者权益 9.利润表中的“净利润”是根据企业的( ) 扣除所得税费用后的净额。

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工 作在临界状态,可采用办法 B 。 A CC V 增加、bm V 减小、p R 减小 B CC V 减小、bm V 增加、p R 增加 C CC V 减小、bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加

3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。 A 增益变大,选择性变好,带宽变宽 B 增益变大,选择性变差,带宽变宽 C 增益变大,选择性变好,带宽变窄 D 增益变小,选择性变好,带宽变窄 9. 包络检波器出现惰性失真的根本原因是 C 。 A 隔直电容 c C 取得不够大 B 检波二极管的折线化仅仅是一种工程近似,与实际情况不完全符合 C 时间常数RC 过大

相关文档
最新文档