课设:多功能电子表(基于74160)

数字电子技术课程设计报告

题目:多功能电子表

院系:电气信息工程系专业:通信工程

组长:董书月学号: 20100602008 组员 1 :董蓓学号: 20100602006 组员 2 :董立尧学号: 20100602007 组员 3 :范广杰学号: 20100602009 组员 4 :付腾飞学号: 20100602010 指导教师:卢智嘉

2012年5月28日

数字电子技术课程设计报告

多功能数字电子钟设计

多功能数字电子钟设计 -多功能数字电子钟 多功能数字钟的设计与仿真

一.设计任务与要求 设计任务: 设计一个多功能数字钟。 要求: 1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。(设计秒脉冲发生器) 2.有整点报时功能。(选:上下午、日期、闹钟等) 3. 用中规模、小规模集成电路及模拟器件实现。 4. 供电方式: 5V直流电源 二.设计目的、方案及原理 1.设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)熟悉面包板结构及其接线方法。 (4)熟悉多功能数字钟的构成及工作原理。 (5)熟悉多功能数字钟的设计与制作 2.设计思路 (1)设计数字钟的时、分、秒电路。 (2)设计可预置时间的校时电路。 (3)设计整点报时电路。 3.设计过程 3.1.总体设计方案及其工作原理为: 数字钟原理框图入图1所示,电路通常包含一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号务必做到准确稳固。通常使用石英晶体振荡器电路构成数字钟,但也能够用555定时器构成。

图1 系统框图 数字钟计时的标准信号应该是频率相当稳固的1HZ秒脉冲,因此要设置标准时间源。数字钟计时周期是24小时,因此务必设置24计数器,秒、分、时由数码管显示。 为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中使用开关操纵校时电路“时”“分”“秒”计数器进行校时操作。 3.2.各独立功能部件的设计 (1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制) 如下图,图中蓝色线为高电平+5v,绿色为接地线,红色线为时钟脉冲。获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。由于秒与分的显示都为60进制,因此他们可有两级十进制计数器构成,其中秒与分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。74160与74161具有相同的逻辑符号,引脚图与功能表,各引脚图的功能与用法也相同。所不一致的是74160是十进制,而74161是十六进制。因此能够用6片74160构成秒计时器、分计时器、时计时器、星期计时器。

数字电子钟设计课程设计报告宋扬

数字电子系统 设计报告 题目名称:数字电子时钟设计姓名:宋扬 学号:20130407101028 班级:13电子信息工程指导教师:郭红俊 邯郸学院信息工程学院 2014年12月

一、摘要 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。 多功能数字钟由以下几部分组成:555定时器组成的多谐振荡器构成秒脉冲发生器; 校正电路;六十进制的秒、分计数器和十二进制的时计数器;秒、分、时的数码显示部分;报时电路等。具体要求如下:钟是一种用数字电路技术实现时、分、秒计时的装置。通过数字钟的制作进一步了解中小规模集成电路。 二、设计内容及要求 (1)功能 设计一个具有计时、显示“时、分、秒”和校时功能的数字电子钟。 ①显示时、分、秒; ②具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ③计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ④为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 (2)设计要求 ①画出电路原理图(或仿真电路图); ②元器件及参数选择; ③电路仿真与调试; (3)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、重要器件概述 各器件的逻辑框图、逻辑符号、逻辑功能表、内部原理图及逻辑功能分别如下: 1.74LS04 仔细观察一下三极管组成的开关电路即可发现,当输入为高电平时输出等于低电平,而输入为低电平时输出等于高电平。因此输出与输入的电平之间是反向关系,它实际上就是一个非门。(亦称反向器)。 所用芯片74LS04是一个有六个反相器的芯片,其逻辑框图如下图所示: 图一、芯片74LS04管脚图 2.74LS00 74系列与非门的电线电缆与三极管组成的TTL反相器的典型电路的区别在于输入端改成了夺发射极三极管。 所用芯片74LS00,其逻辑框图如下图所示:

电子表(课程设计)

洛阳理工学院 课程设计报告 课程名称脉冲与数字电路 设计题目可调电子表 专业 班级 学号 姓名 完成日期

课程设计任务书 可调电子表 摘要 我们正处在一个信息的时代,事物的发展和技术的进步,让我们享受到了诸多的便利。尤其是电子表,它在我们的生活中无处不在。电子表是内部装配有电子元件的表,一般分液晶显示数字式和石英指针式两种。本次程程设计在Quartus II仿真软件上实现对电子表的设计。学习电子表的设计不仅让我们拨开了电子表的奥妙,让我们更加牢固的掌握了74160、74193这一类的计数器的使用。运用多个74160器件,使之产生模为24、60周期的计数器,按照一定的关系量来连接,从而产生进位,产生一个能循环计数的正常电子表的功能。再设计一个电子表的调节电路图,以方便调节电子表。完成两部分的封装,对设计结果进行验证,通过输入数据的变化,从而得到所需要的输出。根据需要,或正常计时,或设定分钟和小时,都能得到正确的结果。 关键词:Quartus II,电子表,计数器,74160,调节

Adjustable Electronic Clock ABSTRACT We are in the age of information, the development of things and technical progress, let us enjoy the many convenience. Especially electronic watch, it's in our life is everywhere. An electronic watch is internal assembly have electronic components watch, general points LCD digital and quartz pointer type two kinds. This ChengCheng design in Quartus II simulation software to achieve the design of electronic watch. The design of the electronic learning not only let us through the secrets of the digital watches, let us more solid knowledge of 74160, 74193 this kind of use of the counter. Use DuoGe 74160 devices, causes it to have the mold of 23, 59 cycle of counter, according to certain amount to the relationship between the connection, which carry and produce a cycle count of the normal can the function of digital watches. To design a digital watch the adjustment of the circuit diagram, with convenient adjustment electronic. Complete two part of the package, the design results were verified through the change of input data, and the output of the need. According to the needs, or normal time, or set up minutes and hours, can get the right result. Key words: Quartus II, electronic watch, counter, 74160, adjust

数电课程设计数字电子时钟的实现

) 课程设计报告; 设计题目:数字电子时钟的设计与实现 ? 班级: 学号: 姓名: 指导教师: 设计时间:

摘要 ? 钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。 本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路

< 目录 摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 设计任务 (4) 设计要求 (4) 第3章系统设计 (6) ( 方案论证 (6) 系统设计 (6) 结构框图及说明 (6) 系统原理图及工作原理 (7) 单元电路设计 (8) 单元电路工作原理 (8) 元件参数选择 (14) 第4章软件仿真 (15) # 仿真电路图 (15) 仿真过程 (16) 仿真结果 (16) 第5章安装调试 (17) 安装调试过程 (17) 故障分析 (17) 第6章结论 (18) 第7章使用仪器设备清单 (19) 》 参考文献 (19) 收获、体会和建议 (20)

电子秒表74160

电子秒表 一、设计任务与要求 1、设计部分由0.1s位、s个位、s十位和min个位四个计数器组成。技术范围:0-10min,精度为0.1s。 2、秒表具有清零、计时、暂停三种工作状态。用两个按键控制,按键1第一次按下时计时开始,第二次按下时清零;按键二第一次按下时暂停,第二次按下时继续计时。 3、脉冲源可通过555多谐振荡器提供。 4、每隔30s蜂鸣器响一声(每次响1s)。 二、总体框图 1、结构框图 总体框图,如图2-1。 图2-1 总体框图 2、设计方案 (1)十进制、六十进制电路 方案一:可用74LS160、161、162、163实现计数功能,只能实现加法;

方案二:用74LS190、191、192、193实现计数功能,可以加可以减,可以 进位、可以借位。 电子秒表只需要实现加法,用不到减法和借位,所以此处选择方案一中的74LS160计数器。 (2)暂停功能电路 方案一:用SR 触发器,SR 触发器属低电平直接触发的触发器,有直接置位,复位的功能。可使其在停止后能够依然保留数字而不马上归零; 方案二:用D 触发器,D 触发器具有保持功能,不会因为前后的变化而改变,因此可以通过它实现“单开关保持清零功能”。 SR 触发器自己比较熟悉,所以选择方案一用SR 触发器实现暂停功能。 (3)脉冲源 通过555定时器改装的多谐振荡器发出的脉冲频率要更准确,所以用555多谐振荡器设计一个10HZ 电路,即精度为0.1s 。 (4)蜂鸣器部分 通过组合逻辑电路实现。 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图3-1。 图3-1 数码管管脚图 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个LED 的阴极连在一起,让其接地,这样给任何一个LED 的另一端高电平,它便能点亮。而共阳极就是将八个LED 的阳极连在一起。 2、555定时器 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555。555定时器的电源电压范围宽,可在4.5V~16V U13 DCD_HEX

EDA74160计数器设计

沈阳工程学院 EDA技术 ——课程设计 专业班级:电子专112 学生姓名:杨媛 指导教师:包妍

沈阳工程学院 课程设计任务书 课程设计题目:74160计数器设计 系别自控系班级电子101 学生姓名杨媛学号 2011337206 指导教师包妍职称讲师 课程设计进行地点:实训F213 任务下达时间: 2013年 12 月 20 日 起止日期: 2013年12 月30 日起——至2014年1 月10 日止系主任田景贺2013年 12 月 10日批准

以下内容根据各专业特点自行确定(如条件、资料、内容、任务、进度安排及要求等): 1.设计题目:设计模拟74LS160计数器 1.1设计目的:(1)掌握模拟74LS160计数器的构成、原理与设计方法; (2)熟悉MAXPLUSII软件的使用方法。 1.2基本要求:(1)能用VHDL语言设计模拟74LS160计数器电路; (2)能进行计数器硬件下载; (3)应用层次化的设计方法。 1.3发挥部分:(1)设计输入信号波形,并进行设计仿真; (2)编程并进行硬件仿真; 2.对设计说明书、论文撰写内容、格式、字数的要求 1)课程设计说明书(论文)是体现和总结课程设计成果的载体,一般不应少于3000字。 2)学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计说明书(论文)的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 3)说明书(论文)手写或打印均可。手写要用学校统一的课程设计用纸,用黑或蓝黑墨水工整书写;打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 4)课程设计说明书(论文)装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。

数字逻辑课程设计报告

数字逻辑课程设计报告多功能数字钟的设计与实现 学院: 班级: 姓名: 学号: 时间:

一设计目的 (1)学会应用数字系统设计方法进行电路设计; (2)进一步提高QUARTUS II软件的开发应用能力; (3)培养学生书写综合实验报告的能力。 二设计任务及要求 (1)拥有正常的时、分、秒计时功能。 (2)能利用实验板上的按键实现校时、校分及秒清零功能。 (3)能利用实验板上的扬声器做整点报时。 (4)闹钟功能。 (5)在QUARTUSⅡ中采用层次化设计方法进行设计。 (6)完成全部电路设计后在实验板上下载,验证设计课题的正确性。 三设计思路 1 总体设计框图与顶层图

2.1计时模块 2.1.1 设计方法 该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制用于计时,六十进制用于计分和计秒。计时间过程: 计秒:1Hz计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 2.1.2 仿真波形 1) 60进制计数器:4位数组qh表示十位,从0(0000)到5(0101)循环;ql表示个位,从0(0000) 到9(1001)循环。

2) 24进制计数器:4位数组qh表示十位,从0(0000)到2(0010)循环;ql表示个位,从0(0000)到9(1001)循环;进位tc始终为0。 2.1.3 程序说明 --24进制计数器 entity cnt24 is port(clk:in std_logic; ql,qh:out std_logic_vector(3 downto 0); tc:out std_logic); end cnt24; architecture one of cnt24 is begin process(clk) V ariable iql,iqh:std_logic_vector(3downto0); begin if clk'event and clk='1' then iql:=iql+1; if iql="1010" then iqh:=iqh+1; iql:="0000"; end if; if (iqh="0010")and(iql="0100") then tc<='0'; iqh:="0000"; iql:="0000"; end if; end if; ql<=iql; qh<=iqh; end process; end one; 生成器件:--60进制计数器 entity cnt60 is port(clk,clr:in std_logic; ql,qh:buffer std_logic_vector(3 downto 0); tc:out std_logic); end cnt60; architecture one of cnt60 is begin tc<='0'when(clk='1' and ql="0000" and qh="0110") else '1'; process(clk,clr,ql,qh) variable iql,iqh:std_logic_vector(3 downto 0); Begin if(clr='0'or (iql="0000" and iqh="0110"))then iql:="0000"; iqh:="0000"; elsif(clk'event and clk='1')then iql:=iql+1; if(iql="1010")then iql:="0000"; iqh:=qh+1; end if; end if; end if; ql<=iql;qh<=iqh; end process; end one; 生成器件:

数字电子钟 课程设计报告

海洋大学 数字电子技术课程设计 题目:数字电子钟 姓名: 学号: 班级: 院系: 指导教师: 起止日期:

大连海洋大学课程设计报告纸学院:专业班级::学号: 目录 一摘要 (2) 二课程设计任务及要求 (2) 三秒脉冲信号发生器 (5) 四设计原理及其框图 (5) 五设计总结 (9) 六参考文献 (10)

数字电子钟课程设计 摘要 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。 一课程设计任务及要求 一、设计目的 1、熟悉巩固所学的理论知识与实践技能。 2、培养学生查阅技术资料的能力,培养学生综合运用所学理论知识和实践知识独立完成课题的工作能力。 二、设计任务 1、设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校 时功能的电子钟; 2、用中小规模集成电路组成电子钟。 三、设计要求 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz

频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制; 3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器; 5.用同步十进制集成计数器74LS160设计一个24小时计数器, 6.译码显示电路显示时间。 四、参考资料 1.志忠卫桦林数字电子技术基础高等教育. 2.缪新颖立杰丛吉远数字电子技术实验指导书海洋大学自编教材. 二电路设计原理工作原理 数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED 显示器显示出来。整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。校时电路是来对“时、分、秒”显示数字进行校对调整。其数字电子钟系统框图如下:

多功能电子秒表课程设计说明书

目录 1绪论 (2) 1.1课题背景 (2) 1.2秒表的发展趋势 (2) 1.3本课题研究内容 (3) 2研究方案与预期成果 (3) 2.1研究方案 (3) 2.1.1时钟电路方案 (3) 2.1.2显示电路方案 (3) 2.1.3系统主控制电路 (4) 2.2预期成果 (4) 3各芯片引脚图 (4) 3.174LS192芯片 (4) 3.274LS47芯片 (5) 3.3七段数码管显示器 (6) 4系统电路图 (8) 5仿真软件介绍 (10) 5.1EW B软件介绍 (10) 5.2EW B32软件主界面窗口 (11) 5.3菜单栏 (11) 6电路仿真 (14) 6.1分析仿真 (14) 6.2分析 (14) 6.3误差分析 (15) 7系统硬件焊接与调试 (15) 7.1焊接步骤 (15) 7.2元件清单 (15) 7.3实物图 (16) 7.4硬件电路测试 (18)

多功能电子秒表的设计 摘要:随着电子技术的发展和应用领域的扩大与深入,电子技术的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,就更加要求我们对专业知识有更深的理解,更强的实验操作能力。 本设计的多功能电子秒表系统以74ls192芯片为中心器件,利用其既能正计时又能倒计时的原理,结合74ls47译码器、显示管来设计多功能电子秒表。这就需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。本次设计是基于100进制电子数字钟的原理,实现具有100进制正计时与倒计时的电子钟,它主要由脉冲、100进制加减法器74LS192、译码器74LS47各功能模块在Ewb软件中将其仿真模拟出来,然后将其打包成可调用的元件,这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。 关键词:多功能电子秒表;100进制加减法计数;74ls192芯片;74ls47芯片。 1绪论 1.1 课题背景 奥运赛上裁判根据运动员跑步的时间来判断名次、测试人们在一定时间内能跑多远距离、测试人们能憋多长时间的气等等,这些都需要计时功能,无论是正计时还是倒计时在日常生活中都很受人们的需要。所以,就需要设计一些既能正计时也能倒计时的秒表。 1.2秒表的发展趋势 时间是日常生活、工业、医学、环境保护、化工、石油等领域最常遇到的一个物理量。测量时间的基本方法是使用秒表直接测量。其中秒表的精度是人们最关心的,这就要求它的计时最小单位足够小,显示模块的灵敏度足够高。随着人类科技文明的发展,人们对于秒表的要求在不断地提高。秒表已不仅仅被看成一种用来计时的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代秒表发展的趋势。在这种趋势下,秒表的数字化、多功能化已经成为现

多功能电子钟的设计与实现课程设计说明

多功能电子钟的设计与实现课程设计说明

多功能电子钟的设计与实现 摘要 电子时钟主要是利用电子技术将时钟电子化、数字化,拥有时钟精确、体积小、界面友好、可扩展性能强等特点,被广泛应用于生活和工作当中。另外,在生活和体育赛场中,也常常需要跑秒,这就需要电子时钟具有多功能性。 本设计主要为实现一款可正常显示时钟,带有定时闹铃,跑秒的多功能电子时钟。 本文对当前电子钟开发手段进行了比较和分析,最终确定了采用单片机技术实现多功能电子时钟。本设计应用AT89C51芯片作为核心,利用74LS164作为串入并出的寄存器,7位LED数码管显示,并且设置按键来对分秒小时闹铃等进行校对。这种实现方法的优点是电路简单,性能可靠,实时性好,时间精度高,操作简单,编程容易。 该电子时钟可以应用于一般的生活和工作中,也可通过改装,提高性能,增加新功能,从而给人们的生活和工作带来更多的方便。 关键词:电子时钟;AT89C51;74LS164;LED;

The Design with Investigation of the Multi-function Electron Clock Abstract Electronic clock is the use of electronic technology will be an electronic clock, digital, with the clock precision, small size and friendly interface, scalable performance, and other characteristics, are widely used to live and work. In addition, in the field of sports and life, often need to run second, which requires electronic clock with versatility. The main design for the realization of this can be a normal clock display, from time to time with alarm, running the second multi-function electronic clock. This article on the current development of the electronic clock means were compared and analyzed to determine the ultimate use of a single chip multifunctional electronic clock technology. AT89C51 chip design of this application as the core, to use as a 74LS164 and a string into the register, 7 LED digital display and button set up to every minute of the hour for alarm, and other proof-reading. This method has the advantage of a simple circuit, reliable and real-time, high-accuracy time, simple, easy to program. The electronic clock can be applied to general life and work, can also be modified to improve performance, add new functions to the people living and working more convenient. Key words: Electronic clock; AT89C51; 74LS164; LED;

数字钟论文完整版

论文题目:电子钟的设计 学院:信息工程学院 专业:通信工程 班级:通信091班 学号:0906220123 姓名:陈宇 指导教师:邬春明 目录 第一节摘要 3 第二节引言 4 第三节设计方案的选择与论证 5 第四节数字电子钟的设计 6 4.1 设计思想、任务及要求 6 4.2 电路设计及工作原理 6 4.3 子电路图及工作原理7 第五节原件介绍和心得体会15 第六节参考文献16 第一节摘要 随着社会的发展,人们的生活节奏也越来越快,时间观念也更为突出,精准的报时工具当然更受大家的青睐,传统的机械表无法满足人们精确的时间计算。在科学技术不断发展的今天,精确到0.1秒甚至更精确的数字电子钟作为人们精准计时工具显得日趋重要。 本次的设计题目是基于计数器的数字电子钟的设计,系统由74160与数码管作为主要原件。它能完成以下功能:实现时钟的星期、时、分、秒、0.1秒时的显示,整点报时,半点报时,时间可以根据用户随时设置等功能。 关键词:数字电子钟、数码管显示、整点报时、半点报时 第二节引言 社会在发展,科学在进步,人们的生活节奏也在不断加快,当然随之而来的是很重的

时间观念,“一寸光阴一寸金,寸金难买寸光阴”成了很多人的座右铭,可见每一秒的时间对人们的意义都大不同于以前。在很多领域,如教育,医疗,体育等很多地方都需要精确的报时工具,传统的机械报时工具也已经满足不了人们的对时间的要求了,所以数字电子钟日益入主了人们的日常生活,广泛地应用到各个方面给个领域。 数字电子钟是用数字集成电路或专用芯片做成的计时器,一般采用数码管或发光二极管直接显示“时”、“分”、“秒”、“0.1秒”,具有直观性。除此之外它还具有整点报时、按作息时间报时等功能,所以数字电子钟在如今节奏很快的生活中得到了广泛的应用。 第三节设计方案的选择与论证 数字钟也是一种小型的数字系统,由若干个数字电路和逻辑部件构成,可以处理、传送数字信息,完成特定的功能。一般来说,一个数字系统应包括输入电路、输出电路、处理电路、处理电路、存储电路和控制电路五部分。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和一些显示星期、报时、停电查看时间等附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,“星期”计数器、校时电路、报时电路和振荡器组成。 方案一:利用单片机,配合逻辑电路来控制数字电子钟的工作。 方案二:采用74160为主体芯片,配合555定时器、逻辑电路和时序电路来完成多功能数字电子钟的设计。用ewb仿真软件进行仿真进行模拟仿真。 根据任务书的要求和现在所学知识,最终决定采用方案二,以74160为主体原件和ewb仿真软件进行多功能数字电子钟的设计和仿真。数字电子钟的设计方案二由以下部分组成,分析系统的性能要求,画出系统方框图,将总体设计要求分解成若干个具有相对独立功能的分项任务。设计完成各部分子系统的组合逻辑电路、时序逻辑电路等。最后将各个子系统连成整体,进行组装、调试,检验是否满足设计要求,不断修改、完善设计方案。最后采用ewb 软件进行仿真测试。 第四节数字电子钟的设计 4.1设计思想、任务及要求 数字电子钟采用74160和数码管为主要元器件,配合数字逻辑电路和时序电路,完成数字钟的时间显示、报时和用户设置等功能。基于数字电子的课程学习,对74160和与非门有了一定的了解,在此基础上,查阅一些书籍和资料进行学习、总结和提炼,设计出了总体的思路,明确了解设计的目的和所要完成的功能,确定用何种器件,单独各部分子电路的设计与调试,整体电路的组装和调试。最后用ewb软件进行仿真测试。

数字电子技术课程设计报告数字电子时钟

电气与计算机学院 数字电子技术课程设计报告 设计题目:数字电子时钟(仿真)专业班级: 学生姓名: 学号: 指导教师: 设计时间:

目录 第1章绪论 (1) 1.1 (1) 1.2 (2) 第2章设计任务和要求 (3) 2.1 (3) 2.2 (3) 2.3 (3) 2.4 (4) 第3章电路设计的计算与分析 (5) 3.1 (5) 3.2 (6) 3.3 (9) 3.4 (10) 3.5 (11) 3.6 (12) 第4章方案的制作与安装 (15) 4.1 (15) 4.2 (15) 4.3 (16) 第5章电路的仿真与调试 (18) 总结 (19) 参考文献 (21) 元件清单 (22)

第1章绪论 1.1多功能电子时钟简介 多功能数字电子钟实际上是一个标准频钟表作为一种定时工具被广泛的使用在生产生活的各方面。人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。而电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,生活的各个方面。由于电子钟的能提供精确又被广泛的运用在测量之中。此数字电子钟采用555定时器提供定时脉冲,74160,74290集成块作为计时模块,8段数码显示管作为显示工具。其设计的产品可以广泛的用于公共场所,匾额装饰,以及教学等方面。 率计数的计数电路,它的计时周期为二十四小时。数字电子钟主要由时钟信号源、秒计数器、分计数器、时计数器、译码显示管组成。其中电路系统由时钟信号源,时、分、秒计数器,译码器及显示器电路组成。秒信号产生器是整个系统的时基信号,一般多用555定时器来实现,将标准时基信号送入秒计数器,秒计数器采用六十进制计数器,每累计六十秒发出一个“分脉冲”信号,该信号将作为分计数器的计数脉冲,分计数器同样采用六十进制计数器,每累计六十分钟,发出一个时脉冲信号,而该信号将被送到式计数器,时计数器采用十二进制计数器,可以实现对一天二十四小时的计时。译码显示电路将时、分、秒的计数器输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。 1.2发展前景 随着人类社会步入高度发达的信息化时代,电子信息类产品日益广泛地应用于各个领域。市场需求的变化使产品更新换代越来越快,能否尽快开发出适应市场需求的产品已成为企业生存发展的关键。定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用

数字电子钟 课程设计

大连海洋大学 数字电子技术课程设计 题目:数字电子钟 姓名: 学号: 班级: 院系: 指导教师: 起止日期: 大连海洋大学课程设计报告纸

学院: 专业班级: 姓名: 学号: 目录 一摘要 (2) 二课程设计任务及要求 (2) 三秒脉冲信号发生器 (5) 四设计原理及其框图 (5) 五设计总结 (9) 六参考文献 (10) 数字电子钟课程设计 摘要

数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。 一课程设计任务及要求 一、设计目的 1、熟悉稳固所学的理论知识与实践技能。 2、培养学生查阅技术资料的能力,培养学生综合运用所学理论知识和实践知识独立完成课题的工作能力。 二、设计任务 1、设计一个有“时〞,“分〞,“秒〞〔23小时59分59秒〕显示且有校时功能的电子钟; 2、用中小规模集成电路组成电子钟。 三、设计要求 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;〔对已有1kHz 频率时钟脉冲进行分频〕; 2.能显示时、分、秒,24小时制; 3. 设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

电子技术课程设计---秒表数码显示电路数字秒表电路设计

电子技术课程设计---秒表数码显示电路数字秒表电路设计 一,课题名称 秒表数码显示电路数字秒表电路设计 二,设计要求 1. 设计1MHz时钟: 2.完成0~59小时59分59秒范围内的计时: 3.通过按键设置计时起点与终点,计时精度为10ms: 4. 计时暂停、恢复和清零功能; 5. 计时时间报警功能; 三,比较和选定设计的系统方案,画出系统框图 1.1,课题分析与方案确定 本题要求计数至59时59分59秒,所以要用到六个数码管。计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。暂停功能和清零功能通过开关进行控制。最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。 1.2,总体设计方案及系统框图

数字式秒表,必须有数字显示。按设计要求,必须用数码管来做显示器。题目要求59时59分59秒,则需要六个数码管。要求计数分辨率为0.01秒,则需要相应频率的信号发生器。总体上,采用六个74LS160计数器。使用同步预置法,实现59时59分59秒的计时。 将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。 四,单元电路设计、参数计算和器件选择 1.1,各个模块单元设计 74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。 功能表: 图20 引脚图:

图21 逻辑符号及其引脚功能图: 图22 74ls160中的ls代表为低功耗肖特基型芯片。74160为标准型芯片。结构功能一样。2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。 将一个计数器15端连接到另一个计数器的2端来形成一组计数器,第2个计数器输出0101的信号时通过与非门将信号输出到它的9端来实现60进制计数。并将0101信号也输入到下一组计数器上来实现进数功能。清零模块通过将所有计数器的1端接5V电源上并通过开关闭合与开启来置1和置0来实现清零的功能。在脉冲信号输出端接开关来实现开始与暂停功能。

数字电路课程设计-电子秒表的设计

目录 1.引言 1.1设计目的-------------------------------------------------------2 1.2设计内容-------------------------------------------------------2 1.3设计要求-------------------------------------------------------2 2.数字电子秒表设计 2.1仪器设备-------------------------------------------------------3 2.2设计原理-------------------------------------------------------3 2.3电路设计及仿真-------------------------------------------------3 3.电路的硬件验证--------------------------------------------------10 4.心得体会---------------------------------------------------------12 5.参考文献---------------------------------------------------------12

1.引言 1.1设计目的: (1)掌握同步计时器74160、74161的使用方法,并理解其工作原理。 (2)掌握74160、74161进行计数器、分频器的设计方法。 (3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。(4)掌握电子秒表的设计方法。 (5)掌握在EDA系统软件MAX+plusII环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定的芯片下载的方法。 (6)掌握用EDA硬件开发系统进行硬件验证的方法。 1.2设计任务内容: (1)设计可控的计数器(定时器)、分频器、按键去抖电路和动态扫描显示电路; (2)设计系统顶层电路; (3)进行功能仿真和时序仿真; (4)对仿真结果进行分析,确认仿真结果达到要求; (5)在EDA硬件开发系统上进行硬件验证与测试,确保设计电路系统能正确地工作。 1.3设计要求 (1)计时精度不小于1/100秒; (2)输入时钟:1khz; (3)计时器最长计时时间为1小时; (4)具有复位和启/停开关; (5)显示控制:动态六位七段LED显示,位选以三位编码输出。要求显示稳定,扫描显示的频率大于50hz; (6)完成硬件验证测试工作;

电子秒表设计---数字逻辑课程设计

第一章实验概述 1.1实验设计任务 1.1.1 设计任务 1. 设计一个电子秒表,可显示4位数,计时范围0——10分钟 2. 显示精确到0.1秒,对0.01秒进行四舍五入 3. 有暂停、启动、恢复和连续功能,显示板由发光二极管构成 2.1 实验目的与设计要求 2.1.1 实验目的 1. 学习数字电路基本RS触发器、单稳态触发器、时钟发生器、计数器以及译 码显示器等单元电路的综合应用; 2. 了解电子秒表的组成与工作原理; 3. 熟悉中规模集成电路的应用; 4. 掌握电子秒表的设计、调试以及故障排除方法; 5. 培养书写综合实验报告的能力。 2.1.2 设计要求 1. 根据设计任务要求,综合运用数字电子技术课程中所学到的理论知识与实践 技能独立完成设计课题。 2. 根据课题查阅书籍,独立思考,深入研究课程设计中遇到的问题,培养自己 分析、解决问题的能力。 3. 根据设计要求,从选择设计方案开始,首先按单元电路进行设计,选择合适的元器件,最后画出总的电路图。 4. 学会电子电路的连线安装和调试技能,最终实现任务要求的全部功能;电路布局合理,走线清楚,工作可靠。 5. 写出完整的实验报告,调试中出现的异常现象的分析与讨论。

第二章 电子秒表的设计过程 2.1 电路的设计与元件的选择 2.1.1 总体方案的设计 图 2.1 电子秒表一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。其中振荡器和分频器组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。信号送入计数器,累计结果以分、秒、分秒的数字显示出来,分、分秒由十进制计数器构成,秒由六十进制计数器构成。其原理框图(如图2.1) 脉冲发生电路 振荡器 分频器 计数器 显示 译码 显示 显示 显示 译码 译码 译码 分计数 秒十计数 秒个计数 分秒计数 四舍五入控制电路

相关主题
相关文档
最新文档