数字电子技术课设—完全版

数字电子技术课设—完全版
数字电子技术课设—完全版

数字电路EDA课程设计实验报告

专业:电气工程及其自动化

班级:

姓名:

学号:

指导老师:

制作日期:

课程设计课题:多功能数字电子钟

任务书:

设计要求为:

(1)时计数器为8421BCD码24进制;分和秒计数器为

8421BCD码60进制计数器;

(2)基本功能为:①正常走时;②能“校时”和“校分”;

③整点报时;④时段控制;

(3)扩展功能例如①定点闹时;②星期计数显示。

1、逻辑抽象:输入变量:时钟CPS,校分变量为SWH、SWM ;

输出变量:小时计时H[7..4]、H[3..0]为8421BCD码

输出,其时钟为CPH;分计时M[7..4]、

M[3..0]为8421BCD码输出,其时钟为

CPM;秒计时S[7..4]、S[3..0]为8421BCD

码输出,其时钟为CPS;报时FU和时

段控制变量Z等。

系统定义:系统示意框图图中输入变量为秒时钟CPS,

校时、校分变量为SWH、SWM ;输出变

量为小时H、分M及秒S,以及报时FU和

时段控制变量Z。

系统示意框图如下图(1)所示:

图(1)系统示意框图

2、顶层*.gdf原理图形文件如下图(2)所示。

图(2)顶层原理文件示意图

3、各底层功能模块:

(1)小时计时模块:

工作原理图(3)如下:

图(3)小时计时模块原理图

模块功能仿真如下图(4):

图(4)小时计时模块功能仿真

分析总结:由仿真图可以看出,当小时的高四位为0、1时,小时

的低四位为九时,在下一个时钟的上跳延来了之后,高

四位加一;当小时的高四位为2,同时低四位为3时,

小时的高低四位都清零。实现了从00到23的循环计数,

验证了该了该模块的逻辑功能的正确。

(2)分钟计时模块:

工作原理图如下图(5):

图(5)分钟计时模块原理图

模块功能仿真如下图(6):

图(6)分钟计时模块功能仿真图

分析总结:由仿真图可以看出,当分钟的高四位为0、1、2、3、4时,小时的低四位为九时,在下一个时钟的上跳延来了

之后,高四位加一;当分钟的高四位为5,同时低四位

为9时,分钟的高低四位都清零。实现了从00到59的

循环计数,验证了该模块的逻辑功能正确。

(3)秒计时模块:

工作原理图如下图(7):

模块功能仿真如下(8):

图(8)秒计时模块功能仿真图

分析总结:由仿真图可以看出,当分钟的高四位为0、1、2、3、4

时,小时的低四位为九时,在下一个时钟的上跳延来了

之后,高四位加一;当分钟的高四位为5,同时低四位

为9时,分钟的高低四位都清零。实现了从00到59的

循环计数,验证了该模块的逻辑功能正确。

(4)校时模块:

工作原理图如下图(9):

图(9)校时模块原理图

用virlog HDL描述:

模块功能仿真如下图(10):

图(10)校时模块功能仿真图

分析总结:由仿真图可以看出,当SWM为0时,用秒时钟CPS对分钟进行校对;当SWH为0时用秒时钟CPS对小时进

行校对。当SWM、SWH都不为0时,分钟、小时正常

计数。验证了该模块的逻辑功能正确。

(5)整点报时模块:

工作原理图如下图(11):

图(11)整点报时模块原理图

用virlog HDL描述:

模块功能仿真如下图(12):

图(12)整点报时模块功能仿真图

分析总结:由仿真波形图可以看出,当为59分51秒53秒55秒57秒时,以低音报时,当为59分59秒时以高音报时。

验证了该模块的逻辑功能的正确。

(6)时段控制模块:

工作原理图如下图(13):

图(13)时段控制模块原理图用virlog HDL描述:

模块功能仿真如下图(14):

图(14)时段控制模块功能仿真图

分析总结:由仿真波形图可以看出从6点到18点,灯灭,从19点到凌晨5点(包含5点),灯点亮,验证了该模块逻辑功

能正确。

4、选用芯片型号

定义芯片管脚号

引脚分配表(如下表1):

表1 引脚分配表

5、下载过程:

下载实验板如下图(15)所示:

图(15)下载实验板

(1)选择MAX+plus II/Progoammer;弹出编程对话框,如下图

(16):

图(16)

(2)检查编程文件名和器件是否正确;

若正确,接上硬件后,点击Configure按钮,直接对器件编

程;

若错误,选File/Select Programming File…,重新选编程文件。

完成后即可在开发板上看到所设计电路的演示的结果。6、多功能电子钟成品及其仿真波形

多功能电子钟电路图如下图(17):

图(17)多功能电子钟成品电路图

仿真波形如下图(18):

图(18)多功能电子钟成品仿真图

7、《课程设计》设计中遇到的问题及解决方法:

在设计整点报时模块的过程中,所画电路图中角标没有正确标对位置,导致实验出现众多错误,后经仔细发现问题后及时改正得以继续正确进行。在整点报时模块仿真波形中,Fu没有进行预期的跳变,后经与同学讨论发现时间设置不够准确,导致Fu没有得到预期的结果。在进行Verilog HDL语言编写时,所保存的文件夹包含了中文名,导致出现了错误,后经改正已解决。

8、最终结论:由多功能数字电子钟的仿真波形不难分析出:所设计

的多功能数字电子钟有如下特点:①电子钟走时正常;

②通过对SWM和SWH的操作,能“校时”“校分”;

③能整点高音低音报时;④时段控制灯能亮灭。所以

所设计的多功能数字电子钟简单、实用,完全符合设

计的基本要求。

9、结束语:

通过此次课程设计,使我更加熟练的掌握了有关电子线路方面的知识和MAX+plus II的使用。在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。

虽然在设计中遇到了很多问题,在同学的互相讨论和老师的指导下,终于游逆而解。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。

过而能改,善莫大焉。在课程设计过程中,不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。

实验过程中,也是对团队精神的考察,让我们在合作起来更加默契。在成功后一起体会喜悦的心情,只有互相之间默契融洽的配合才能换来最终完美的结果。

10、参阅教材及文献:《电子技术基础》数字部分(第五版)、《电子

线路实验·设计·仿真讲义》

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电路及其应用(一)

数字电路及其应用(一) 编者的话当今时代,数字电路已广泛地应用于各个领域。本报将 在“电路与制作”栏里,刊登系列文章介绍数字电路的基本知识和应用实例。 在介绍基本知识时,我们将以集成数字电路为主,该电路又分TTL和CMOS 两种类型,这里又以CMOS集成数字电路为主,因它功耗低、工作电压范围宽、扇出能力强和售价低等,很适合电子爱好者选用。介绍应用时,以实 用为主,特别介绍一些家电产品和娱乐产品中的数字电路。这样可使刚入门的 电子爱好者尽快学会和使用数字电路。一、基本逻辑电路 1.数字电路 的特点 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模 拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者 涉及数字信号,即断续变化的物理量,如图1所示。当把图1的开关K快速通、断时,在电阻R上就产生一连串的脉冲(电压),这就是数字信号。人们把用来 传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作 时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电 位用代码“1”表示,称为逻辑“1”;低电位用代码“0”表示,称为逻辑“0”(按正逻 辑定义的)。注意:有关产品手册中常用“H”代表“1”、“L”代表“0”。实际的数字 电路中,到底要求多高或多低的电位才能表示“1”或“0”,这要由具体的数字电 路来定。例如一些TTL数字电路的输出电压等于或小于0.2V,均可认为是逻 辑“0”,等于或者大于3V,均可认为是逻辑“1”(即电路技术指标)。CMOS数字 电路的逻辑“0”或“1”的电位值是与工作电压有关的。讨论数字电路问 题时,也常用代码“0”和“1”表示某些器件工作时的两种状态,例如开关断开代 表“0”状态、接通代表“1”状态。 2.三种基本逻辑电路

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

[数字电子技术及应用(第2版)习题答案第4单元习题答案

自我检测题 一、填空题 4-1 555定时器根据内部器件类型可分为双极型和单极型,它们均有单或双定时器电路。双极型型号为 555 和 556 ,电源电压使用范围为5~16V ;单极型型号为7555和7556 ,电源电压适用范围为3~18V 。 4-2 555定时器最基本的应用有 单稳态触发器 、 施密特触发器和多谐振荡器三种电路。 4-3 555定时器构成的施密特触发器在5脚未加控制电压时,正向阈值电压+T U 为 CC V 32V ;负向阈值电压-T U 为 CC V 31 V ;回差电压T U ?为 CC V 3 1 V 。 4-4晶片的两个基板在电场的作用下,产生一定频率的 机械变形 。而受到一定方向的外力时,会在相应的两个表面上产生 相反 的电荷,产生电场,这个物理现象称为 压电效应 。 4-5石英晶体有两个谐振频率,分别为 串联谐振频率 和 并联谐振频率 。 二、选择题、判断题 4-6 用555定时器组成单稳态触发电路时,当控制电压输入端无外加电压时,则其输出脉宽t w = A 。 A 、1.1RC B 、0.7 R C C 、1.2 RC 4-7 用555定时器组成的单稳态触发器电路是利用输入信号的下降沿触发使电路输出单脉冲信号。( ) 4-8为了获得输出振荡频率稳定度高的多谐振荡器一般选用 B 组成的振荡器 A 、555定时器 B 、反相器和石英晶体 C 、集成单稳态触发器 练习题 4-1 555定时器由哪几个部分组成? 答:略。 4-2施密特触发器、单稳态触发器、多谐振荡器各有几个暂稳态,几个稳定状态? 答:略。 4-3由555定时器构成的施密特触发器在5脚加直流控制电压U CO 时,回差电压为多少? 答:CO U 2 1 4-4由555定时器构成的多谐振荡器如图4-12所示,已知,R 1=R 2=5.1kΩ,C =0.01μF ,V CC =+12V ,则电路的振荡频率是多少? 答:9.337KHZ 4-5由555定时器构成的施密特触发器输入波形如图题4-5所示,试对应画出输出波形。

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电路的应用

数字电路的应用 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二进制数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。 数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。逻辑门是数字电路中一种重要的逻辑单元电路。TTL 逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近几年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字电路或数字集成电路是由许多的逻辑门组成的复杂电路。与模拟电路相比,它主要进行数字信号的处理(即信号以0与1 两个状态表示),因此抗干扰能力较强。数字集成电路有各种门电路、触发器以及由它们构成的各种组合逻辑电路和时序逻辑电路。一个数字系统一般由控制部件和运算部件组成,在时脉的驱动下,控制部件控制运算部件完成所要执行的动作。通过模拟数字转换器、数字模拟转换器,数字电路可以和模拟电路互相连接。 分类 按功能来分: 1、组合逻辑电路 简称组合电路,它由最基本的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 2、时序逻辑电路 简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路(输出到输入)或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时

数字电路教学

数字电路教学 1加强实验教学的目的 数字电路是理工科很多专业的一门技术基础课,也是学生以后从事科学技术研究和开发工作的一门重要课程,其目的既要培养学生良好的基本实验素养和基本实验技能,也要为学生在理论与应用之间架起一座桥梁,使它成为培养应用复合型人才的一个重要教学环节。 2数字电路教学现状 (1)数字电路目前在很多学校仍然采用传统的教学方法,教学的重点仍然是传统的基本教学内容。而随着信息时代的到来,教育的重心由以往的注重传授知识向注重培养学生综合素质发生转变。该课程作为一门重要的专业基础课,其教学内容应该适应科学技术的发展以及对人才培养的要求。如今教学改革应该遵循“理论够用,实践为重”的原则,将培养能力的思想作为核心。力求为社会培养基础扎实、具有创新意识和创新能力,理论联系实际、综合素质高的新一代建设人才。 (2)传统的数字电路实验是按课程的开设顺序逐一设置基本实验项目和课程设计实验,也就是主要围绕相关的理论课程来设计的一种实验模式。实验以中小规模电路为主,大规模数字电路实验较少,也很少考虑各实验课内容相互之间

的衔接与综合,以至学生往往缺少大型数字电路实验的训练机会,难以培养出综合电路设计的能力。这样的实验结构已落后于当代学生科学素质、实验技能和创新能力培养的要求。现在新型的实验结构不仅是实验内容的深化、强化,而且还需要体现实验的系统性综合性和创新性。 (3)目前开设的数字电路实验内容,大多是对理论教学的简单验证和基础实验的技能训练,内容老化,手段单一。传统的验证性实验虽然可以加深学生对理论知识的理解,但仍届于获取间接知识的渠道。当学生进行传统的验证性实验时知识结论已先入为主地占据了学生的头脑,当实验数据与理论不相符合时,学生往往不去追求事实的真相,而是违背认识以理论为本,去修正实验数据,重蹈理论第一的覆辙的规律。而高校人才的培养则应使学生通过实验亲身体验直接知识的获取,并从中接受和理解间接知识,真正懂得实践才是获取真知的主要渠道。所以应引导学生从实验中去发现、认识事物运用已学到的知识去进行解释、检验和总结,有的客观规律效地调动学生的学习兴趣和热情。只有进行这样的实验教学才可以培养学生自我获取知识和探索未知新知识的能力,为真正成为有用的人才打下良好的基础。 3数字电路教改的思路 (1)为了突出数字电路实验课的地位和作用,在教学内容的改革中,对学生在有关电子仪器的测试方法及测试技术的

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

模拟与数字电子技术课程教学大纲

《模拟与数字电子技术》课程教学大纲 一、课程的性质与主要任务 课程性质:电子技术是工科高等学校机电类的一门必修课,是学习和研究有关电学问题的基础课程。 主要任务:通过本课程的学习,使学生能获得电子技术中必要的基本理论、基本知识和基本技能,具有分析电路和一般电路的设计能力,在培养高级工程技术人才的全 局中,具有增强学生对电子技术工作的适应能力和开发创新能力的作用。 二、课时分配 三、课程教学内容 第一篇模拟电子技术基础 第一章半导体器件基础 目的与要求:通过本章的学习使学生具备必要的半导体知识,能较为深刻地理解半导体器件的工作原理、特性曲线和主要参数。 本章重点:PN结的形成和单向导电性;二极管、三极管、场效应管的结构、工作原理、特性曲线和主要参数. 本章难点:PN的形成、三极管电流放大的基本原理、输入、输出特性曲线的理解、稳压管的稳压特性和场效应管的导电机制。 实验:实验一认识实验 第二章放大电路基础 目的与要求:通过本章的学习使学生掌握放大电路的基本组成原则、掌握放大电路的基本分析方法,还应掌握常用基本放大电路的电路结构、特点和分析过程.通过几个电路 的分析、训练,能训练掌握微变等效电路的分析法. 本章重点:本章是模拟电路中第一个重点章,其重点是:基本放大电路的组成原则和工作原理、偏置稳定共射放大电路和共集放大电路的组成、特点和交直流分析法。 本章难点:电压放大倍数、输入电阻、输出电阻、静态工作点、固定偏置电路工作点不稳定的原因、分压式偏置稳电路的稳定原因、放大电路中的负反馈原理及放大电路的 频率特性概念。 实验:实验二单管共射放大电路 第三章集成运算放大器及其应用 目的与要求:通过本章的学习要求学生较扎实地掌握集成运算放大器的基本组成电路—差动放大器和互补对称功率放大器的组成、工作原理及参数的计算方法。熟练掌握集 成运算放大器的线性应用和简单的非线性应用。 本章重点:本章是模拟电路中第二个重章,本章的重点是:差动放大电路、信号动算电路。

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

[数字电子技术及应用(第2版)习题答案第1单元习题答案

自我检测题: 一、填空题 1-1 (1001010)2 =( 112 )8 =( 4A )16 =( 74 )10 1-2 (37.375)10 =( 100101.011 )2 =( 45.3 )8 =( 25.6 )16 1-3 (CE)16=( 11001110 )2 =( 316 )8 =( 206 )10 =( 001000000110 )8421BCD 1-4在逻辑代数运算的基本公式中,利用分配律可得A (B +C )= AB+AC ,A +BC = (A+B)(A+C) ,利用反演律可得ABC = C B A ++ ,C B A ++ = C B A 。 1-5在数字电路中,半导体三极管多数主要工作在 截止 区和 饱和 区。 1-6 COMS 逻辑门是 单 极型门电路,而TTL 逻辑门是 双 极型门电路。 1-7 COMS 集成逻辑器件在 功耗 、 抗干扰 方面优于TTL 电路,同时还具有结构相对简单,便于大规模集成、制造费用较低等特点。 1-8 CT74 、 CT74H 、 CT74S 、 CT74LS 四个系列的 TTL 集成电路,其中功耗最小的为 CT74LS ;速度最快的为 CT74S ;综合性能指标最好的为 CT74LS 。 二、选择题 1-9指出下列各式中哪个是四变量A、B、C、D的最小项( C )。 A 、ABC B 、A+B+C+D C 、ABCD D 、AC 1-10逻辑项D BC A 的逻辑相邻项为( A )。 A 、ABCD — B 、ABCD C 、AB — CD D 、ABC — D 1-11当利用三输入的逻辑或门实现两变量的逻辑或关系时,应将或门的第三个引脚( B )。 A 、接高电平 B 、接低电平 C 、悬空 1-12当输入变量A 、B 全为1时,输出为0,则输入与输出的逻辑关系有可能为( A )。 A 、异或 B 、同或 C 、与 D 、或 1-13TTL 门电路输入端悬空时应视为( A )电平,若用万用表测量其电压,读数约为( D )。 A 、高 B 、低 C 、3.5V D 、1.4V E 、0V 三、判断题 1-14用4位二进制数码来表示每一位十进制数码,对应的二—十进制编码即为8421BCD 码。( × ) 1-15因为逻辑式A+(A+B)=B+(A+B)是成立的,所以在等式两边同时减去(A+B)得:A=B 也是成立的。(× ) 1-16对于54/74LS 系列与非门,输出端能直接并联。(× ) 1-17三态输出门有高电平、低电平和高阻三种状态。( ) 1-18在解决“线与”问题时,OC 门是指在COMS 电路中采用输出为集电极开路的三极管结构,而OD 门指在TTL 电路中采用漏极开路结构。(× )

数字电子技术的应用

龙源期刊网 https://www.360docs.net/doc/a312068422.html, 数字电子技术的应用 作者:尹润翔 来源:《电子技术与软件工程》2017年第10期 数字电路中逻辑门电路是最基本的电路逻辑元件。所谓“门”就是一种开关,它能按照某些条件去控制电子信号的通过或不通过。门电路的信号输入和信号输出之间存在一定的逻辑关系(因果关系),所以门电路又称为逻辑门电路。门电路的基本逻辑关系为“与”、“或”、“非”三种。通过这三种关系,可以实现多种多样的功能。而对于传统的机械手表来说,它的功能单一。所以可以通过数字电子技术是它的功能更加丰富,更符合人们生活的需要。例如,除了传统机械手表的功能;显示时间之外,还可以增加显示日期,秒表计时,定时闹钟等功能。 【关键词】高电平低电平输入端输出端 1 数字电子技术 在2016年夏天,我去表哥家玩,在他的书桌上放着一本有关数字电子技术的书,出于好奇心,于是我就翻看了几页,然后我就喜欢上了数字电子技术这门课。以下是我对数字电子技术的认识。核心内容就是把一系列连续的信息数字化,或者说是不连续化。在电子技术中,信号可以根据是否连续分为两大类:一类信号是连续的模拟信号,这类信号的特征是,无论从时间上还是从信号的大小上都是连续变化的,用于传递、加工和处理模拟信号的技术叫做模拟技术,处理模拟信号的电路称为模拟电路。常用的有整流电路、放大电路等,而且研究的是输入和输出信号间的大小及相位关系;另一类信号是不连续的数字信号,数字信号的特征是,无论从时间上或是大小上都是离散的,或者说都是不连续的,传递、加工和处理数码信号的叫做数字技术。处理数字信号的电路称为数字电路,它注重研究的是输入、输出信号之间的逻辑关系而非大小和相位的关系。“门”电路是数字电路中最基本的逻辑元件。所谓“门”就是一种开关,它能按照特点的的条件去控制电路信号的通过或不通过。门电路的输入和输出之间存在一定的逻辑关系(因果关系),所以“门”电路又称为逻辑门电路。基本逻辑关系为“与”、“或”、“非”三种。数字技术有以下特点: (1)在数字技术中采用二进制,因此凡元件具有的两个稳定状态都可用来表示二进制,(例如“高电平”和“低电平”),所以其基本单元电路简单,电路中各元件对精度要求不严格,允许基本参数有较大的偏差,只要能区分两种截然不同的状态即可。这一特点,降低了数字电路对元件的要求,降低了数字电路的成本,对实现数字电路集成化是十分有利的。 (2)抗干扰能力强、精度高。采用二进制的数字技术传递加工和处理的是二值信息,不易受外界的干扰,抗干扰能力强。另外它可用增加二进制数的数位提高精度。 (3)数字信号便于长期存贮,使大量可贵的信息资源得以保存。

数字电子技术教学计划

数字电子技术基础教学计划 一、教学指导思想 根据新课程标准的要求,教育学生掌握基础知识与基本技能,培养学生的逻辑思维、空间观念和解决简单实际问题的能力。使学生进一步学会使用普通的仪表,会分析一些简单的电路并进行相应的计算。使学生懂得数学来源于实践反过来作用于实践。提高学生学习的兴趣,逐步培养学生具有良好的学习习惯,实事求是的态度,顽强学习的毅力和独立思考、探索的思想,培养学生应用所学的知识解决一些实际问题的能力,为以后跟深入的学习打下基础。 二、学生基本情况分析 总体来看,学生基较差。对少数几个优生来说,能够透彻理解知识,知识间的内在联系也较为清楚。对大部分后进生来说,简单的基础知识也不能掌握。在学习能力上,学生课外主动获得知识的能力较差甚至几乎为零。学生的逻辑思维能力、计算能力、动手能力需得到加强,以提升学生的整体成绩。应在适当的时候补充课外知识,拓展学生的知识面,提升学生素质。学生的学习习惯养成还不理想,预习习惯,进行总结的习惯,主动纠错的习惯,大多数学生还没有养成。 三、本学期的教学内容共五章 第一章逻辑门电路 第二章数字逻辑基础 第三章组合逻辑电路 第四章集成触发器 第五章时序逻辑电路 四、提高质量的措施 1、认真学习钻研新课标,掌握教材; 2、认真备课、争取充分掌握学生动态; 3、认真上好每一堂课; 4、落实每一堂课后辅助,查漏补缺; 5、积极与其他老师沟通,加强教研、教改,提高教学水平; 6、经常听取学生良好的合理化建议; 7、深化两极生的辅导。

五、教学进度安排 第一章逻辑门电路10课时第二章数字逻辑基础8课时 第三章组合逻辑电路8课时 第四章集成触发器14课时第五章时序逻辑电路8课时

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术的应用及发展趋势探析

数字电子技术的应用及发展趋势探析 摘要:随着电子设备的普及,数字电子技术应用到 各个领域,发展前景良好。数字电子作为一种具有高科技效力的技术,它的应用与发展对我国各个行业来说都是尤为重要的。本文主要分析数字电子技术数字电子技术的应用领域,并在此基础上探析了其未来的发展趋势。 关键词:数字电子技术;应用;发展趋势数字电子技术是当前发展最快的学科之一。近年来,数字电子技术作为电子技术领域中的一项新兴科技,越来越受到关注,尤其是数字电子技术在各行各业的广泛应用,更使它拥有了广阔的发展前景。 1、数字电子技术概述 1.1数字电子技术的概念 数字电子技术属于信息电子学科,集成电路、发光二极管等都是数字电子技术具体的物质体现,它以集成芯片、电路、逻辑门电路为研究对象,伴随信息技术的发展,其电路对于信号处理显示出了明显的优势。以处理信号为例,信号处理过程中,按照一定比例在数字电路上,把模拟信号转换成数字信号,再经数字电路将数字信号进行处理,完成处理之后,根据需要反复转化成模拟信号。

1.2电子技术的分类 电子技术包括数字电子技术和模拟电子技术两大类。这两大类技术有着相辅相成的联系,其中最明显和被广泛使用的就是数字电路信号的处理,即模拟信号(“0101”信号) 与数字信号的相互转换。但这两者之间也存在着一些不同之处。首先,与模拟信号相比,数字信号波形更简单易识,没有太多的变化,只有高电平和低电平两种,出现误差的几率很小,这无疑也给信号的接收和处理方面提供了更加便捷的条件,这一点本文将在后文进行详细的论述。其次,因为数字电子技术的诸多优点,例如稳定性强、可靠性高等,很多模拟信息被电子信息所取代,其中最明显的就是在声音和图像的存储方式上,过去声音和图像是由模拟信号组成的磁带、磁盘来储存,而现在这些都变成了光盘存储,无疑更加便捷也更易保存。 1.3数字电子技术的优势 数字电子技术作为一种具有重要作用的新兴技术,在我国电子信息化的进程中发挥着巨大的推动作用。近年来,数字电子技术以其波形简单、精确度高、抗感染能力强等多重优势,在多种方面的应用中发挥了重要的作用,为我国经济社会和信息产业的发展作出了巨大的贡献。 2、数字电子技术的应用 2.1在雷达接收机中的应用

数字电子技术(第四版)教学大纲(72学时_2010修订版)

《数字电子技术》课程教学大纲 课程英文名称:Di git al Electronic Technology 课程代码: 课程性质:专业基础理论课/必修课 适用专业:电子科学与技术开课学期:3 总学时数:72学时总学分数:4.5学分 编写年月:20xx年x月修订年月:2010年5月 执笔:潘永雄 一、课程的性质和目的 本课程是电子类各专业一门重要的专业基础理论课。它是研究逻辑代数、逻辑门电路与触发器芯片应用的学科。通过本课程的学习,使学生了解包括逻辑门电路(包括单管反相器)、传输门电路、各类触发器等半导体器件的内部结构,理解其工作原理并掌握其基本应用;深刻理解逻辑函数概念、表示方法、化简规律等基本知识,理解74HC系列、CD40系列、CD45系列以及7406与7407标准TTL数字IC芯片的内部结构、输入/输出特性,掌握由这些数字IC构成的逻辑电路的分析方法与技能;进一步提高电子线路调试与读图能力,初步具备逻辑电路设计、调试能力。为后续电类专业基础课、专业课的学习,以及毕业后从事与电子技术应用相关的工作奠定坚实的理论基础。 二、课程教学内容与学时分配 第一章数制与码制(4学时) 理解二进制数特征、表示方式,二进制与十进制之间转换规则;理解十六进制数特征、表示方式,以及与二进制、十进制之间转换规则。掌握原码、反码、补码概念,以及在数字系统中引入补码的原因;掌握BCD码、ASCII表示方法。 本章知识点:二进制、十六进制;原码、反码、补码;BCD码、ASCII码。 第二章逻辑代数基础与逻辑门电路概念(12学时) 理解逻辑关系及基本运算(逻辑与、或、非);理解基本逻辑关系表示方法——基本逻辑门电路;理解逻辑函数、逻辑变量概念;掌握逻辑函数表示方法——逻辑代数式、真值表、卡诺图、逻辑图、波形图及其相互间转换;理解逻辑代数化简意义以及化简方法——熟练掌握4变量以内卡诺图化简法;理解逻辑函数常用的表示方法——最小项及其标准式、最大项及其性质、最简“与或”式、最简“与非-与非”式、最简“或非-或非”式、最简“与或非”式;理解反函数概念。 本章知识点:逻辑关系、逻辑函数与变量、逻辑代数式、基本逻辑等式、真值表及其应用、逻辑函数化简、卡诺图及其应用、逻辑图及其绘制规则、波形图及其画法、最小项与标准式、最大项及应用、反函数及其应用、最简“与或”式、最简“与非-与非”式、最简“或非-或非”式、最简“与或非”式。 第三章常见逻辑门电路(8学时) 理解MOS场效应管结构、工作原理、主要参数及特点;掌握CMOS反相器结构、工作原理、输入/输出特性、传输特性以及电源特性;理解CMOS与非门、或非门电路结构;理解OD门、三态门结构及其特征;理解CMOS传输门结构、工作原理及其应用;理解标准

相关文档
最新文档