数字逻辑电路 作业2

数字逻辑电路 作业2
数字逻辑电路 作业2

《数字电路与逻辑设计》 作业2

一. 填空题:

1. 基本RS 触发器,当R0,S0都接高电平时,该触发器具有__1____功能。

2. 基本RS 触发器使用时不允许,R S 同时为_____0________,即必须满足___1__的条件,这个条件

也称为基本RS 触发器输入信号的约束条件。

3. 按逻辑功能来划分,触发器可分为RS 触发器,__JK __触发器,___D __触发器和T 触发器等四种

类型。

4. 每个触发器可记录__1__位二进制码,因为它有_两_个稳定态。

5. 三态门有三种输出状态__输出高电平__、__输出低电平__和__高阻状态_,分别代表三种不同的逻

辑值。

6. 欲使JK 触发器按1n n Q

Q +=工作,则J.K 触发器输入端J=K=_1_,或J=_________,K=____

______。

7. 构造一个模10同步加1计数器,至少需要__4__个触发器。

8. 时序逻辑电路按其状态改变是否受统一定时信号控制,可将其分为__同步时序逻辑电路___和__异步时序逻辑电路___两种类型。

9. 根据计数过程中数字增减规律的不同,计数器可以分为___加法___计数器、__减法___计数器和__可逆__计数器。

10. 一个五位二进制加法器,由00000状态开始,向经过35个输入脉冲后,此计数器的状态为

__00011__。

11. 一个存储容量为1K ×8的存储器,能存__8k____位二进制数。

12. 设一片RAM 芯片的容量为1024×4,扩展为4096×8,求计算机片数__8___。

13. 一个同步时序逻辑电路可用__输入函数表达式__、__状态方程表达式__和___激励函数表达式__三

组函数表达式描述。

14. 某存储芯片的容量为64K ×16,则其地址线和数据线分别为__状态方程表达式__条和__激励函数表达式__条。

二. 下图所示JK 触发器电路上,加入周期性的时钟脉冲,设Q 的初始状态为0,试画出各触发器Q

端的波形。

CP

CP

三. 设触发器的初始状态为0,已知时钟脉冲CP 及A,B 端的波形如图所示,画出Q 端波形,设Q 的

2 3 4 5 6

Q

端波形。

Q

五. 分析下图所示电路的功能。

CP

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑电路习题集85096

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字电子技术基础B第4次作业

数字电子技术基础B第4次作业 四、主观题 1.A/D与D/A是计算机等数字系统中不可缺少的接口电路,D/A转换器是把数字信号转换成模拟信号的电路;A/D转换器是把模拟信号转换成数字信号的电路;转换精度和转换速度是衡量它们的重要技术指标。 2. 4位D/A转换器当输入数字量1000为5v。若只有最低位为高电平,则输出电压为5/8(或0.625V)v;当输入数字量达到最大时,则输出电压为75/8(或9.375V)v。 3.某信号采集系统要对热电偶的输出电压进行A/D转换。已知热电偶输出电压范围为0~25mV(对应于0~450℃温度范围),需分辨的温度为0.1℃,则应选择13 位的A/D转换器。 4.输入信号最大电压为5V,8位A/D转换器能区分的最小输入电压是;若改用10位A/D转换器,最小可分辨电压是。 5. EPROM、E2PROM和Flash Memory都是可擦除可编程存储器,其中EPROM是光擦除,E2PROM 是电擦除,Flash Memory是电擦除。 6. PLD的中文是可编程逻辑器件,其基本结构包括输入缓冲电路、与阵列、或阵列和输出电路。 7. CPLD的中文是复杂可编程逻辑器件,FPGA的中文是现场可编程门阵列。 8.设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少(以十六进制表示)? (1) 2K×1 (2) 16K×4 (3) 256K×32 解:(1) 7FFH (2) 3FFFH (3) 3FFFFH 9.某计算机的内存储器设置有32位地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少 解:最大存储量为232×16 = 230×64= 64G(bit) 10.

数字逻辑电路习题与答案

1、在数字系统中,下列哪种不是数的小数点表示法? A.定点整数表示法 B.记阶表示法 C.浮点表示法 D.定点小数表示法 正确答案:B 2、下列哪种代码是自补码? A.格雷码 B.步进码 C.8421码 D.2421码 正确答案:D 3、下列哪种不是可靠性编码? A.8421海明码 B.余三码 C.格雷码 D.奇偶校验码 正确答案:B 4、下列哪个不是逻辑代数的基本运算? A.与 B.与非 C.或 D.非

5、下列逻辑函数的表示方法中哪种不是唯一的? A.卡诺图 B.最小项标准式 C.逻辑表达式 D.真值表 正确答案:C 6、下列哪个不是逻辑门的符号标准? A.长方形符号 B.数字符号 C.等效符号 D.变形符号 正确答案:B 7、下列哪个叙述是正确的? A.竞争是同一个信号或同时变化的某些信号经过不同路径到达某一点有时差的这种现象 B.产生错误输出的竞争是非临界竞争 C.竞争一定是同一个信号经过不同路径到达某一点有时差的这种现象 D.竞争一定是同时变化的某些信号经过不同路径到达某一点有时差的这种现象 正确答案:B 8、下列哪个叙述是正确的? A.险象分为静态险象和动态险象 B.险象分为功能险象和静态险象 C.险象分为功能险象和逻辑险象

D.险象不一定是竞争的结果 正确答案:A 9、下列叙述哪个是正确的? A.RC延迟电路不能用于消除险象 B.RC延迟电路在实际运行的数字电路中起到了很重要的作用 C.RC延迟电路在电路中很少存在 D.RC延迟电路在电路的使用中不会起到好的作用 正确答案:B 10、在广义上,组合电路可以看作是下列哪个器件? A.译码器 B.选择器 C.分配器 D.编码器 正确答案:A 11、下列逻辑电路中为时序逻辑电路的是()。 A.译码器 B.寄存器 C.数据选择器 D.加法器 正确答案:B 12、对于D触发器,欲使=,应使输入D=()。 A.0 B.Q C.D.1 正确答案:B

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

2017年(数字逻辑)作业-华工

2017年度下半年《数字逻辑》作业 1、将(29.25)10转换成二进制数,写出转换演算过程。 解:∴(29)10=1110122723212余1=a00142余0=a1余1=a2余1=a3余1=a4数制间的转换练习(0.25)10×2=0.5整数=0=a-1MSB0.510×2=1.0整数=1=a-2LSB即(0.25)10=(0.01)2由上两题可得(29.25)10=(11101.01)2 2、详细写出组合逻辑电路的分析步骤?组合逻辑电路的设计步骤? 解: 分析步骤: 1.根据给定的逻辑图,从输入到输出逐级写出逻辑函数式; 2.用公式法或卡诺图发化简逻辑函数; 3由已化简的输出函数表达式列出真值表; 4从逻辑表达式或从真值表概括出组合电路的逻辑功能. 设计步骤: 1仔细分析设计要求,确定输入、输出变量. 2对输入和输出变量赋予0、1值,并根据输入输出之间的因果关系,列出输入输出对应关系表,即真值表. 3根据真值表填卡诺图,写输出逻辑函数表达式的适当形式. 4画出逻辑电路图. 并测试逻辑功能 3、试设计一个裁判表决器。假设在某举重比赛场上有三位裁判官,其中有一位主判官,两位副判官,当运动员举重完成后,由判官按下自己面前的按钮来决定该运动员这一把是否成功。若有两位或两位以上判官通过(其中一位必须是主判官),则表明这位运动员这一把成功。现试用逻辑电路来完成该表决器。 解: 一、确定输入、输出变量 ①输入变量:A、B、C ―――→三名评判员(其中A为主评判员) ②输出变量:Y ―――→灯 ③用正逻辑表示: A=1,表示同意,A=0表示判不同意; B=1,表示同意,B=0表示判不同意; C=1,表示同意,C=0表示判不同意. Y=1,表示灯亮,Y=0表示灯不亮. 二、列出真值表 输入变量输出变量 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1

川大2020《数字电子技术》第二次作业答案

首页 - 我的作业列表 - 《数字电子技术》第二次作业答案 说明:每道小题选项旁的标识是标准答案。 一、单项选择题。本大题共20个小题,每小题 3.5 分,共70.0分。在每小题给出的选项中,只有一项是符合题目要求的。 1. A. B. C. D. 2. A. A B. C.A+B D. 3. A. B. C.

D. 4.在逻辑代数中,若A+B=B+C,则:() A.不能确定 B.A≠C C.A=C D.B=0 5.以下电路中常用于总线应用的有()。 A.OC门 B.TSL门 C.漏极开路门 D.CMOS与非门 6.CMOS数字集成电路与TTL数字集成电路相比突出的优点是()。 A.高抗干扰能力 B.高速度 C.微功耗 D.电源范围宽 7. A.同步四进制计数器 B.同步六进制计数器 C.同步八进制计数器

D.同步五进制计数器 8.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T()。 A.0 B. 1 C.Q D. 9. A.0 B. 1 C.Q D. 10.下列逻辑电路中为时序逻辑电路的是()。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 11.石英晶体多谐振荡器的突出优点是()。 A.速度高 B.振荡频率稳定 C.电路简单 D.输出波形边沿陡峭 12.一个容量为1K×8的存储器有()个存储单元。

A.8192 B.8K C.8000 D.8 13.一个无符号8位数字量输入的DAC,其分辨率为()位。 A. 1 B. 3 C. 4 D.8 14.十进制数25用8421BCD码表示为()。 A.10 101 B.0010 0101 C.100101 D.10101 15.与八进制数(47.3) 8 等值的数为()。 A.(100111.011) 2 B.(27.8) 16 C.(27.3 ) 16 D.(100111.11) 2 16. A.D 0=D 1 =0,D 2 =D 3 =1 B.D 0=D 2 =1,D 1 =D 3 =0 C.D 0=D 2 =0,D 1 =D 3 =1

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

16年春《数字电子技术》第一次作业答案

我的作业列表- 《数字电子技术》第一次作业答案 你的得分: 100.0 完成日期:2016年05月21日 14点44分 说明:每道小题选项旁的标识是标准答案。 一、单项选择题。本大题共17个小题,每小题 4.0 分,共68.0分。在每小题给出的选项中,只有一项是符合题目要求的。 1.逻辑函数F=AB+AB和G=A + B满足关系。() A.F=G' B.F=G C.F' =G' D.F' = G' 2.引起组合逻辑电路竞争与冒险的原因是() A.逻辑关系错 B.干扰信号 C.电路延时 D.电源不稳定 3.三输入八输出译码器,对任一组输入值其有效输出个数为() A.3个 B.8个 C.1个 D.11个 4.一个四位并行加法器T693的输入端输出端的个数为( B,易) A.4入4出 B.8入4出 C.9入5出 D.8入5出 5.指出下列各式中哪个是四变量A,B,C,D的最小项() A.ABC B.A+B+C+D C.ABCD

D.A+B+D 6. A.与非门 B.或非门 C.异或门 D.同或门 7. A.L=(A+B)·C B.L=AB+C C.L=(A+B)·C D. 8.用555定时器构成单稳态触发器,其输出脉宽为() A.0.7RC B. 1.1RC C. 1.4RC D. 1.8RC 9.单稳态触发器可作() A.产生正弦波 B.延时

C.构成D触发器 D.构成JK触发器 10.在同步计数器中,各触发器状态改变时刻() A.相同 B.不相同 C.与触发器有关 D.与电平相同 11.用卡诺图化简 A. B. C. D. 12. A.

B. C. D. 13.应用74151实现如下的逻辑功能:Y=(A⊙B)⊙C,正确的连线电路为() A.

数字逻辑电路第二版刘常澍 习题解答

数字逻辑电路第二版刘常澍习题解答 第1次: 1-14:(3)、(4);1-15:(3)、(4);1-18:(1); 1-22:(3);1-23:(2) 1-14 将下列带符号数分别表示成原码、反码和补码形式。 (3) (?1111111) 2 (4) , (?0000001) 2 ; 解: (3) (?1111111) 2 =() 原 = () 反 = () 补 (4) (?0000001) 2 =() 原 = () 反 = () 补 1-15 将下列反码和补码形式的二进制数变成带符号的十进制数 补; 补 解: (3) 补=(-128) 10 (4) 补 =(-27) 10 1-18列出下述问题的真值表,并写出逻辑式。 (1)有A、B、C三个输入信号,如果三个输入信号均为0或其中一个为1时,输出信号Y=1,其余情况下,输出Y=0。 解: 逻辑式:C B A C B A C B A C B A Y+ + + = 1-22 求下列逻辑函数的反函数(3) C A D C BC D A Y? + =) ( 1-23 求下列逻辑函数的对偶式(2) D BC B A D B A BC Y? + + + + =) ( 第2次:1-21(5)(8) 1-21 用代数法将下列函数化简为最简与-或式。 ABC ACD ABC CD B A ACD BC ACD B A BC AD C B A BC AD C B A B A AB BC AD C B A C B A B A Y + = + + + = +? + = + ? ? + = + ? ? + + = + + ? + = ) ( ) ( ) ( ) ( ) ( ) ( ) )( (

数字逻辑电路(A)》复习题逻辑代数基础

逻辑代数基础 一、选择题(多项选择) 1. 以下表达式中符合逻辑运算法则的是 。 ·C =C 2 +1=10 C.0<1 +1=1 2. 逻辑变量的取值1和0可以表示: 。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 3. 当逻辑函数有n 个变量时,共有 个变量取值组合 A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 =A B +BD+CDE+A D= 。(加一个盈余项AD ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 6.逻辑函数F=)(B A A ⊕⊕ = 。 C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,可将F 中的 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 8.A+BC= 。 A .A + B + C C.(A +B )(A +C ) +C 9.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑0。 A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 二、判断题(正确打√,错误的打×) 1. 逻辑变量的取值,1比0大。( × )。 2. 异或函数与同或函数在逻辑上互为反函数。( √ )。 3.若两个函数具有相同的真值表,则两个逻辑函数必然相等。( × )。

数字电路第1次作业

数字电路第1次作业 一、不定项选择题(有不定个选项正确,共15道小题) 1. 图3.1所示门电路的输出为 图3.1 (A) 高电平 (B) 低电平 (C) 不确定 正确答案:B 2. 图 3.2所示门电路的输出为。 图3.2 (A) 高电平 (B) 低电平 (C) 不确定 正确答案:A 3. 图3.3所示三态门,EN为使能端,当A=1,EN=0时,输出为 图3.3 (A) 逻辑1 (B) 逻辑0 (C) 高阻态 正确答案:C 4. CMOS数字集成电路与TTL数字集成电路相比突出的优点是。 (A) 低功耗 (B) 高速度 (C) 抗干扰能力强 (D) 电源范围宽 正确答案:A C D 5. 逻辑变量的取值1和0可以表示 (A) 开关的闭合、断开 (B) 电位的高、低 (C) 真与假 (D) 电流的有、无 正确答案:A B C D

6. 以下代码中为无权码的为 (A) 8421BCD码 (B) 5421BCD码 (C) 余三码 (D) 格雷码 正确答案:C D 7. 与十进制数(53)10等值的数或代码为。 (A) (0101 0011)8421BCD (B) (35)16 (C) (110101)2 (D) (65)8 正确答案:A B C D 8. 当逻辑函数有n个变量时,共有个变量取值组合。 (A) n (B) 2n (C) n2 (D) 2n 正确答案:D 9. 逻辑函数,它们之间的关系是__ _。 (A) F1= F2 (B) 互为反函数 (C) 互为对偶式 (D) 无法确定 正确答案:A 10. 逻辑函数的表示方法中具有唯一性的是 (A) 表达式 (B) 真值表 (C) 逻辑图 (D) 卡诺图 正确答案:B D 11. 下列各式中是四变量A,B,C,D的最小项。 (A) A+B+C+D (B) ACD (C) (D) AC+BD 正确答案:C 12. 某一逻辑函数真值表确定后,下面该函数的表达式中具有唯一性的是。 (A) 最简与或式 (B) 最简或与式

数字逻辑电路期末考试试卷及答案

请浏览后下载,资料供参考,期待您的好评与关注! 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F + +=, 与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装 请浏览后下载,资料供参考,期待您的好评与关注!

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数A C C B B A F+ + =,试用真值表、卡诺图和逻辑图表示该函数。解:(1)真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++=' D C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的卡诺图

2017学期数字电路作业1

单项选择题 第1题当多个输入变量发生变化时,常常会发 生: A、静态险象 B、动态险象 C、函数险象 D、逻辑险象 答案:D 第2题主从RS触发器在CP的一个周期中触发器的输出状态能改变()。 A、1次 B、2次 C、3次 D、任意次 答案:A 第3题在时钟脉冲为1期间,选出允许输入信号改变的触发 器: A、主从RS触发器 B、主从JK触发器 C、主从型D触发器 D、都不对 答案:C 第4题寄存器由触发器组成,一个触发器能存放()位二进制 数码 A、1 B、0 C、2 D、无法确定 答案:A

第5题单稳态触发器具有()不同的工作状 态。 A、0 B、1 C、2 D、3 答案:C 第6题基本RS触发器的输入R=0,S=1,为一状态,当S回到0时,电路为: A、0状态 B、1状态 C、无法确定 D、以上说法都不对 答案:B 第7题时钟有效边沿到来时,输出状态和输入信号相同的触发器 叫: A、RS触发器 B、D触发器 C、T触发器 D、JK触发器 答案:B 第8题在 CP 脉冲作用下只具有保持和翻转功能的触发器是()触发 器。 A、JK触发器 B、T触发器 C、D触发器 D、RS触发器 答案:B

第9题一个4位移位寄存器可以构成最长计数器的长度 是: A、8 B、12 C、15 D、16 答案:D 第10题下列电路中,属于脉冲产生电路的 是: A、单稳态触发器 B、多谐振荡器 C、施密特触发器 D、编码器 答案:B 第11题基本RS触发器输入R=1,S=0时输出Q 为: A、0 B、1 C、无法判断 D、以上说法都不对 答案:A 第12题在 CP 脉冲作用下,只具有置0、置1和保持功能的触发器是()触发器。 A、JK触发器 B、T触发器 C、D触发器 D、RS触发器 答案:D

数字逻辑电路作业答案

第6章作业答案 6-10、试用2114芯片(1K ×4位)RAM 和74138(3线-8线)译码器,构成4K ×8位的存储器系统,画出逻辑电路图。 解:逻辑电路图如下所示。 6-11 如题图6-11所示电路为用PROM 实现的 组合电路。试分析电路功能,写出函数Y 1,Y 2的逻 辑表达式 解:ABC C AB C B A BC A Y 1+++= ABC C B A C B A C B A Y 2+++= 题图6-10 题图 6-11

6-14 用PLA实现逻辑电路: (1):用一片PLA实现组合逻辑电路: ∑ =)7,5,2,0( ) , , (m C B A X ∑ =)6,5,3( ) , , (m C B A Y ∑ =)7,4,1( ) , , (m C B A Z (2)用一片PLA和D触发器设计一个步进电机的步进码发生器电路,已知时钟脉冲为周期2mS的方波,步进码序为0001→0011→0010→0110→0100→1100→1000→1001→0001。 解:(1)化简得 AC C A X+ =, C AB C B A BC A Y+ + =, ABC C B A C B A Z+ + = 用PLA实现X、Y、Z的逻辑图如题解6-14(1)图所 示。 (2)根据状态循环列状态转移表:填K图求激励函数:题解6-11(1)图

D C A Q Q D = ,D A B Q Q D = ,B A C Q Q D = ,D C D Q Q D = 画出全状态图,检查自启动特性: 存在多余状态的循环,改变激励函数,令1110状态的次态为0100,K 图如下 则只改变D B :D C D A B Q Q Q Q D += 逻辑图如题解6-14(2)图所示 题解6-14图(2)

数字逻辑电路(王秀敏主编)课后习题答案第二章

第1章 概述 检 测 题 一、填空题 1. 在时间和数值上都是连续变化的信号是_______信号;在时间和数值上是离散和量化 的信号是_______信号。 2. 表示逻辑函数常用的方法有4种,它们是_______,________,________,_______。 3. 正逻辑体制高电平用逻辑_____表示,低电平用逻辑_____表示。 4. 任何进位计数制,数值的表示都包含两个基本的要素:_______和_______。 5. 102816(9 6.75)( )( )( )=== 二、请完成下列题的进制转换 1.210(1011001)( )= 810(736.4)( )= 1610(34)( )F C = 2.112(30)( )= 102(16.6875)( ) = 3.28(1011101)( )= 28(1010010.11010)( )= 4.82(127.65)( )= 162(9.16)( ) A = 5.216(1110101100)( )= 216(1111.001)( ) =

三、选择题 1.在下列各数中,最小的数是( ) (a) 2(101001) (b) 8(52) (c) 16(2)B (d) 10(96) 2. 8421(100110000110)( )BCD 余3BCD (A)100110001001 (B)100110001000 (C)110010000110 (D)101100001100 四、简述题 1.为什么在数字系统中通常采用二进制/ 2.何为进位计数制? 何为码制? 何为正、负逻辑? 3.算术运算、逻辑运算和关系运算的区别? 检测题答案 一、填空题 1. 答案:模拟,数字 2. 答案:真值表,逻辑函数式,逻辑图,卡诺图。 3. 答案:1,0;0,1 4. 答案:基数,位数 5. 答案:1100000.11,140.6,60.0 二、请完成下列题的进制转换 1. 89; 478.5; 8012 2. 11110; 10000.1011 3. 135; 122.62 4. 1010111.110101; 10011010.00010110 5. 3AC ; F.2 三、选择题 1.答案:A 2. 答案:A 四、简述题 答案:略

福师《数字逻辑》在线作业二答案

A. 周期 B. 占空 比 C. 脉宽 福师《数字逻辑》在线作业 一、单选题: 1. 一个无符号 4 位权电阻 DAC ,最低位处的电阻为 40K Ω, 则最高位处电阻为 ( ) 分: 2) A. 4K Ω B. 5K Ω C. 10K Ω D. 20K Ω 正确答案 :B 2. 74LS160 十进制计数器它含有的触发器的个数是 ( ) (满分: 2) A. 1 B. 2 C. 4 D. 6 正确答案 :C 3. CMOS 数字集成电路与 TTL 数字集成电路相比不具备的优点是 ( ). (满分: A. 微功耗 B. 高速度 C. 高抗干扰能 力 D. 电源范围宽 正确答案 :B 4. A+BC= ( ). A. A+B B. A+C 满分: 2) C. (A+B )(A+C ) D. B+C 正确答案 :C 5. 以下代码中为无权码的为 ( ). A. 8421BCD 码 B. 5421BCD 码 C. 余三码 D. 2421 码 满分: 2) 正确答案 :C 6. 下列逻辑电路中为时序逻辑电路的是 ( ) (满分: 2) A. 变量译码器 B. 加法器 C. 数码寄存器 D. 数据选择器 正确答案 :C 7. 不属于矩形脉冲信号的参数有 ( ). 满分: 2)

D. 扫描期正确答案:D 8. 在何种输入情况下,“或非”运算的结果是逻辑1( ). (满分:2) A .全部输入是0 B .全部输入是1 C . 任一输入为0 ,其他输入为1 D . 任一输入为1正确答案:A 9. 一片四位二进制译码器,它的输出函数有( ) (满分:2) A. 1 B. 8 C. 10 D. 16 正确答案:D 10. 欲设计0,1,2,3,4,5,6,7 这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用( ) 级触发器(满分:2) A. 2 B. 3 C. 4 D. 8 正确答案:B 11. 和二进制数(1100110111.001) 等值的十六进制数学是( ) 。 (满分:2) A. 337.2 B. 637.2 C. 1467.1 D. c37.4 正确答案:A 12. 多谐振荡器可产生( ) (满分:2) A.正弦波 B.矩形脉 冲 C.三角波 D.锯齿波 正确答案:B 13. 在何种输入情况下,“与非”运算的结果是逻辑0( ). (满分:2) A .全部输入是0 B .任一输入是0 C .仅一输入是0 D . 全部输入是1正确答案:D 14. 8 位移位寄存器,串行输入时经( ) 个脉冲后,8 位数码全部移入寄存器中 (满分:2) A. 1 B. 2 C. 4

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

相关文档
最新文档