电子设计自动化试卷参考答案

电子设计自动化试卷参考答案
电子设计自动化试卷参考答案

山东英才学院

2014-2015学年第一学期期末考试参考答案及评分标准

课程代码:02117024课程名称:《电子设计自动化》试卷(A)

年级2011级专业本科电气工程

(本试卷考试时间60分钟满分100分)

注意事项:

1.务必写清大小题号、每个大题的题型、大小题分值、评分标准。

2.B5纸张打印。

3.正文字体和字号:中文字体统一使用宋体、英文字体统一使用Times New Roman,字号统一使用五号,题与题之间的行间距:固定值20磅。

文科类样例:

一、单项选择题(每小题2分,共20分。)

1、A

2、B

3、A

4、D

5、A

6、B

7、A

8、B

9、A 10、A

二、程序填空题(共10个填空,每空得2分,共20分。)

1、STD_LOGIC_1164

2、CNT10

3、BEGIN

4、CLK'EVENT AND CLK = '1'

5、Q <= Q1;

6、IN

7、OUT

8、7

9、ELSE 10、B

三、EDA名词解释题(1至6题每题2分,第7题8分共20分。)

1.ASIC 专用集成电路

2.FPGA 现场可编程门阵列

3.CPLD 复杂可编程逻辑器件

4.EDA 电子设计自动化

5.IP 知识产权核

6.SOC 单芯片系统

简要解释JTAG,指出JTAG的用途

JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。

四、程序改错题(每小题5分,共10分。)

1.在程序中存在两处错误,试指出,并说明理由:

第14行 TMP附值错误

第29与30行之间,缺少WHEN OTHERS语句

2.修改相应行的程序:

错误1 行号: 9 程序改为:

TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);

错误2 行号: 29 程序改为:

该语句后添加 WHEN OTHERS => LED7S <= "0000000";

四、程序改错题(每小题15分,共30分。)

1.

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT1024 IS

PORT ( CLK, RST, EN, LOAD : IN STD_LOGIC;

DATA : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

Q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); END CNT1024;

ARCHITECTURE ONE OF CNT1024 IS

BEGIN

PROCESS (CLK, RST, EN, LOAD, DATA)

VARIABLE Q1 : STD_LOGIC_VECTOR (9 DOWNTO 0);

BEGIN

IF RST = '1' THEN

Q1 := (OTHERS => '0');

ELSIF CLK = '1' AND CLK'EVENT THEN

IF LOAD = '1' THEN

Q1 := DATA;

ELSE

IF EN = '1' THEN

Q1 := Q1 + 1;

END IF;

END IF;

END IF;

Q <= Q1;

END PROCESS;

END ONE;

2.

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY TRI_STATE IS

PORT ( E, A : IN STD_LOGIC;

Y : INOUT STD_LOGIC;

B : OUT STD_LOGIC); END TRI_STATE;

ARCHITECTURE BEHAV OF TRI_STATE IS BEGIN

PROCESS (E, A, Y)

BEGIN

IF E = '0' THEN

B <= Y;

Y <= 'Z';

ELSE

B <= 'Z';

Y <= A;

END IF;

END PROCESS;

END BEHAV;

电子设计大赛电源类历年试题

全国电子设计大赛电源类历年题目 第一届(1994年)全国大学生电子设计竞赛题目 题目一简易数控直流电源 一、设计任务 设计出有一定输出电压范围和功能的数控电源。其原理示意图如下: 二、设计要求 1.基本要求 (1)输出电压:范围0~+9.9V,步进0.1V,纹波不大于10mV; (2)输出电流:500mA; (3)输出电压值由数码管显示; (4)由“+”、“-”两键分别控制输出电压步进增减; (5)为实现上述几部件工作,自制一稳压直流电源,输出±15V,+5V。

2.发挥部分 (1)输出电压可预置在0~9.9V之间的任意一个值; (2)用自动扫描代替人工按键,实现输出电压变化(步进0.1V不变);(3)扩展输出电压种类(比如三角波等)。 三、评分意见 项目得分 基本要求方案设计与论证、理论计算与分析、电路 图 30 实际完成情况50 总结报告20 发挥部分完成第一项 5 完成第二项15 完成第三项20 第三届(1997年)全国大学生电子设计竞赛题目

A题直流稳定电源 一、任务 设计并制作交流变换为直流的稳定电源。 二、要求 1.基本要求 (1)稳压电源在输入电压220V、50Hz、电压变化范围+15%~-20%条件下: a.输出电压可调范围为+9V~+12V b.最大输出电流为1.5A c.电压调整率≤0.2%(输入电压220V变化范围+15%~-20%下,空载到满载) d.负载调整率≤1%(最低输入电压下,满载) e.纹波电压(峰-峰值)≤5mV(最低输入电压下,满载) f.效率≥40%(输出电压9V、输入电压220V下,满载) g.具有过流及短路保护功能 (2)稳流电源在输入电压固定为+12V的条件下: a.输出电流:4~20mA可调 b.负载调整率≤1%(输入电压+12V、负载电阻由200Ω~300Ω变化时,

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

电子设计员试题

汽车电子设计员试题 姓名: 得分: 一、 单项选择题(每题1分、共30分) 1、电阻元件伏安特性公式( A )、电容元件伏安特性公式( B )。 A 、u=Ri ; B 、i= C ; C 、u=L ; D 、i=。 2、如图1、已知I 1=0.01A ,I 2=0.3A ,I 5=9.61A ,则I 3为( A ),I 6为( C )。 A 、0.31A ; B 、9.3A ; C 、9.6A ; D 、9.61A 。 图1 3、以下图2中 , A 和B 为 输入 F 为输出 则该图的表达式为: ( A )。 A F=A B ; B F=A+B ; C F=A ⊙B ; D F=A ?B 。 图2 图3 4、基尔霍夫定律有电流(KCL )和电压定律(KVL ),电路中任一回路上的电压都满足:( A )。 A 、ΣI i =ΣI O ; B 、ΣU=0 ; C 、U=IR ; D 、ΣU=IR 。 5、图3所示电路原已处于稳定状态,在t=0时开关S 闭合,电路进入新的稳定状态这段时间内电感中的电流i 变化规律为( D )。 A 、i= ; B 、i=I 0 e - ; C 、i=I 0 e - ; D 、i=I 02L ; 6、要想取得输出负载上最大功率,负载和电源内阻关系是( C )。 A 、负载的内阻大于电源内阻; B 、负载的内阻等于电源内阻; C 、负载的内阻大于很多电源内阻; D 、负载的内阻小于电源内阻。 7、20℃时,铜的电阻率为:( D ); A 、1.75×10-5Ωm ; B 、1.75×10-6Ωm ; C 、1.75×10-7Ωm ; D 、1.75×10-8Ωm ; 8、在CAN 总线仲裁时那个ID 具有最高优先级 ( C )。 A 、$270 B 、$720 C 、$027 D 、$207。 9、以下那种金属导电能力最好( A )。 A 金; B 银; C 铜; D 铝。 u L I 0 L S(t=0) - + - + U S R R 1 I 3 I 4 I 2 I 1 I 6 I 5

(完整版)智能电子电路设计与制作期末试卷A

淮安信息职业技术学院2012-2013学年度第2学期 《智能电子电路设计与制作》期末试卷A 一、填空题(每空0.5分)共15分 1、MEGA16单片机I/O 端口的方向寄存器作用是(对端口输入输出选择)。 2、MEGA16单片机I/O 端口的输入寄存器作用是( 判断端口电平高低 )。 3、MEGA16单片机I/O 端口的数据寄存器作用是(对端口写入“1”或“0” )。 4、ATmega16单片机是( 8 )位单片机。 5、MCUCR 寄存器是( 控制寄存器 ),用于设置 INTO 和INT1的中断( 触发)方式。 6、GICR 寄存器是( 中断控制寄存器 ),用于设置外部中断的中断(允许 )位。 7、全局中断使能位是(状态)寄存器中的 第( 七 )位 即( BIT/7 )位。 8、TCNT0是定时器( T/C0)的(数据 )寄存器,作用是( 对计数器进行读写 )。 9、T/C0的计数时钟源可以来自( 内部 )和( 外部 )两种。 10、T/C0工作在普通模式时,( 计数初值 )由TCNTO 设置,最大值为( OXFFFF )。 11、使用MEGA16单片机的AD 相关寄存器有( AD 多工选择寄存器 )、( ADC 控制和状态寄存器A )、( ADC 数据寄存器)、( 特殊功能IO 寄存器 )。 12、MEGA16单片机TWI 相关寄存器有( TWI 比特率寄存器 )、( TWI 控制寄存器 )、( TWI 状态寄存器 )、( TWI 数据寄存器 )。 13、MEGA16单片机与SPI 相关的寄存器有( SPI 控制寄存器 )、( SPI 状态寄存器 )。 14、24C08是具有( I 2c )总线协议的非易失性存储器。 15、USART 模块的管脚发送数据管脚名称为( TXD )。 二、选择题(每题3分,共45分) 1. MCUCR 寄存器中的中断触发模式位是?(D ) A 、ICS00\ICS01 B 、ICS10\ICS11 C 、SM2 D 、A 和B 2. ATmega16的GICR 寄存器中外部中断0的中断使能位是(B ) A 、INT1 B 、INT0 C 、INT2 D 、INT3 3.多位数码管显示器通常采用(B )法显示 系部: 班级: 学号: 姓名:

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

电子设计项目模拟试题1-第六届

准考证号 工位号 注意:只填写准考证号和工位号,否则试卷作废 密 封 线 ----------------------------------------------------------------------------- “蓝桥杯” 第六届全国软件和信息技术专业人才大赛 电子设计与开发项目模拟试题1 竞赛时间:5小时 题 号 一 二 三 四 总 分 配 分 20分 15分 15分 50分 100分 得 分 “简易密码控制装置”设计任务书 功能简述 设计并制作一个具有读秒显示功能的密码控制器,输入正确的密码后开始读秒,到达规定的秒数后,关闭待控制单元;密码控制器设置有4个按键,按照一定的组合顺序可以打开待控制单元,默认密码:122234,电路示意图如图1所示。 密码输入与控制单元 计数 译码显示 秒信号发生器OUT2 RST3 RST2 EN RST1 上电复位电路 RST 设计部分 QA QAQB QBQC QC QD 图1 电路示意图 本题所涉及芯片资料及系统电路组装部分电路原理图可参考计算机上的电子文档。使用绘图软件设计的硬件电路原理图,请以考生准考证号命名,并保存在计算机上的考生文件夹中(文件夹名为考生准考证号,文件夹位于Windows 桌面上)。设计过程中不得使用给定考试套件之外的元器件。

设计任务及要求 1.电路组装与调试部分 1.1系统电源部分的组装与调试; 1.2密码输入部分的组装与调试; 1.3秒脉冲信号发生器的组装与调试; 1.4计数、译码及显示部分的组装与调试。 2.电路设计部分 2.1合理连接电路板上预留的测试点,要求完成如下功能: 1. 电路板上电复位正常 2. 输入正确密码后,CD4060产生1Hz方波信号,数码管显示数字“0”到“7”; 第8秒时,密码输入部分电路、计数部分电路复位,数码管持续显示数字“0”, CD4060停止输出脉冲信号,直到下一次输入正确密码; 2.2设计控制电路并绘制原理图,要求完成如下功能: 1. 当输入正确密码后,继电器吸合,8秒后,自动断开; 2. 当输入正确密码后,NE555输出2HZ方波信号驱动发光二极管闪烁,8秒后 发光二极管熄灭。 项目名称得分评卷人 电路设计 一. 电路原理图设计 画出“电路设计部分”2.2中的控制电路原理图,需标明各元器件的详细参数,并将SCH文件保存在指定的考生文件夹中。 项目名称得分评卷人 电路焊接 二. 电路板焊接 要求焊点大小适中,无漏、假、虚、连焊,焊点光滑、圆润、干净,无毛刺;引脚加工尺寸及成形符合工艺要求;导线长度、剥头长度符合工艺要求,芯线完好,捻头镀锡。 项目名称得分评卷人 产品装配

网站设计与制作试卷和答案

第一部分应知考试题库 2.1.2网页制作基础 1.填空题 (1)网页一般分为()网页和()网页。 (2)HTML是()的缩写,意思为()。(3)网页主要由()、()、()、超链接等基本元素构成。(4)常用的制作网页的专门工具有()和()。 (5)如果属性面板被隐藏了,可以通过执行()菜单下的“属性”命令来打开。2.选择题 (1)下面文件属于静态网页的是______。 (2)属于网页制作工具的是______。 A.photoshop B.flash C.dreamweaver D.cuteFTP (3)用于调整编辑窗口中被选中元素的属性的面板是_____ A.插入面板 B.属性面板 C.设计面板 D.文件面板 (4)在网页中经常用的两种图像格式是_______。 A.bmp和jpg B.gif和bmp C.png和bmp D.gif和jpg (5)下列说法正确的是_____。 A.动态网页使用应用程序解释器但不使用后台数据库 B.动态网页不使用应用程序解释器但使用后台数据库 C.动态网页不使用应用程序解释器也不使用后台数据库 D.动态网页使用应用程序解释器也使用后台数据库 2.1.3网站的创建与管理 1.选择题 (1)如果正在编辑的文件没有存盘,系统在文件名上加上____符号提示用户。 A.! B. C.# D.* (2)在“资源面板”中没有列出的资源是______。 A.文本 B.图像 C.颜色 D.脚本 (3)保存网页文档的快捷键是__________。 A.Ctrl+A B.Ctrl+S C.Ctrl+W D.Ctrl+N (4)下列哪一种视图不属于“文件面板”中视图列表中的视图类型 A.本地视图 B.地图视图 C.远程视图 D.大纲视图 (5)定义站点时,存放网页的默认文件夹为__________。 A.C盘根目录 B.D盘根目录 C.我的文档 D.没有默认文件夹,必须由用户指定 2.1.4页面的整体控制 1.选择题 (1)打开页面属性对话框,使用_______功能键。 A.Ctrl+K B.Ctrl+J C.Ctrl+M D. Ctrl + F (2)下面的颜色中,____表示黄色。 A.#FFFF00 B.#FFOOFF C.#00FFFF D.#00FF00 (3)当网页既设置了背景图像又设置了背景色,那么_____。 A.以背景图像为主 B.以背景色为主

电子设计自动化(EDA)期末必考题目汇总

电子设计自动化期末必考题目汇总 1、EDA的英文拼写:electronic design automation 2、Eda的设计方法:两种一种为由底向上的设计方法即传统的设计方法,一种是自顶向下的设计方法即现代设计方法。 3、自顶向下分为三个阶段:系统设计、系统的综合优化、系统的实现 4、系统的设计流程主要包括:系统的功能分析、系统的结构设计、系统的描述、系统的功能仿真。 5、适配器:结构综合器,功能是将由综合器产生的网表文件配置与指定的目标器件中,使之产生最终的下载文件中。 6、FPGA芯片对应的是:sof文件,CPLD芯片对应的是pof文件 7、FPLD:现场可编程逻辑器件,FPGA:现场可编程门阵列,CPLD:复杂现场可编程逻辑阵列 8、可编程逻辑器件分为:FPGA和CPLD其中CPLD断电后不丢失。 9、基于乘积项的PLD分为三部分:宏单元、PIA、I/O控制板10、quartus软件的波形文件名为.vwf 11、VHDL语言的特点: (1)、VHDL是工业标准的文本格式语言。 (2)、具有强大的描述能力。 (3)、VNDL能同时支持方针和综合。 (4)、VHDL语言是并发执行的语句 (5)、VHDL支持结构化设计和top-down设计方法(6)、VHDL的描述与工艺无关 12、STD-logic型数据能够在数字器件中实现的只有四种即“-”、“0”、“1”、“z”但这并不表明其他5种值不存在,这9种值对于VHDL的行为仿真都有重要意义。 13、用户自定义的数据类型:枚举类型、整数类型、数组类型(所有的值必须一样)、记录类型(值得类型可以不一样)、事件类型、实数类型。 14、数据类型转换分为两种:类型转换函数方式、直接类型转15、换方式常量说明语句所允许的设计单元有:实体,结构体、程序包、块、进程、子程序 16信号与变量的区别: (1)、赋值语句的不同,信号赋值用“《=”而变量为“:=” (2)、通常变量的值可以给信号赋值但信号的值却不能给变量赋值

电子设计与制作试卷(A)

班级: 姓名: 考号: 学号 ………………………………密………………………封………………………………线…………………………… 电信学院09级应用电子技术专业专科《电子设计与制作》试卷(A ) 说明:本试卷共四页、四道大题,答卷一律在试卷规定处填写,答在其它处不得分。 一、填空题(本题共3小题,每空2分,共10分。) 1、CAD (Computer Aided Design )是 的简称。 2、原理图中能够表示元件引脚之间电气连接关系的有 、 和 。 3、在双面板和多层板中,可以使用 实现不同导电层之间的电气互联。 二、判断题(本题共10小题,每小题2分,共20分。) 1、网络标号、总线和总线分支线都具有电气特性。 ( ) 2、原理图中具有相同网络标号的导线,都被看作同一条导线。 ( ) 3、一个元件只有一种电气图形符号,但可以有不同的封装形式。 ( ) 4、封装形式DIP20能够进行旋转、对称操作。 ( ) 5、对同一电路来说,原理图中与印制板中元件的连接关系应完全相同。 ( ) 6、色环电阻的表示方法是:每一色环代表一位有效数字。 ( ) 7、变压器有变换电压和变换阻抗的作用。 ( ) 8、二极管和三极管在电路上的作用相同。 ( ) 9、电感的单位是用大写字母L 表示。 ( ) 10、发光二极管(LED )通常情况下脚长的为负极,脚短的为正极。 ( ) 三、单项选择题 (本题共10小题,每小题4分,共40分。) 1、电阻常用的封装形式为 ( ) A RAD0.2 B RB.2/.4 C AXIAL0.4 D DIODE0.4 2、Protel 99 SE 中原理图文件的扩展名为 ( ) A *.Schlib B *.Sch C *.SchDoc D *.Sdf 3、在编辑区内,要使处于激活状态的元件旋转90°,可按下键盘上的 ( ) A 回车键 B X 键 C Y 键 D 空格键 4、同一电路板内,印制导线宽度最大的一般是 ( ) A 信号线 B 地线 C 电源线 D 飞线 5、对电路原理图进行电气规则检查后形成的报告文件格式是 ( ) A *.ERC B *.ERR C *.DRC D *.REP 6、要实现编辑区的刷新功能,可以按下键盘上的 ( ) A End 键 B Alt 键 C Tab 键 D Ctrl 键 7、要缩小编辑区可以使用键盘上的 ( ) A Page Up 键 B Page Down 键 C Home 键 D End 键 8、参数设置中,用于锁定栅格并设定光标位移步长的参数是 ( ) A Grid B Visible Grid C Snap Grid D Electrical Grid 9、创建或修改元件封装图时,必须启动的编辑器是 ( ) A Schematic B PCB C Schematic Library D PCB Library 10、在印制电路板中,元件的外形、序号和说明性文字一般放在 ( ) A Multi Layer B Keep Out Layer C Top Overlayer D Top Layer 四、简答题(本题共5小题,每小题6分,共30分。)

《网页设计与制作》试卷及答案

浙江传媒学院《网页设计与制作》期终(考试)卷 学院 班 姓名 学号 总分 题号 一 二 三 四 五 六 题分 一、单选题(每小题1分,共30分) 1、 设置字体大小时,选择"无",代表( )。 号字 号字 号字 号字 2、 在HTML 源代码中,图像的属性用( )标记来定义。 3、 在页面属性对话框中,我们不能设( )。 A.网页的标题 B.背景图像的透明度 C.背景图像 D.超链接文本的颜 色 4、 图像属性面板中的热区按钮不包括( )。 A.方形热区 B.圆形热区 C.三角形热区 D.不规则形热区 5、 用于同一个网页内容之间相互跳转的超链接是( )。 A.图像链接 B.空链接 C.电子邮件链接 D.锚点链接 6、 将超链接的目标网页在最顶端的浏览器窗口中打开的方式是( )。 A. _parent B. _top D. _self 7、 在Flash 中,绘制直线时,按住( )键可以绘制水平线。 A. Alt B. Ctrl 8、 在Flash 中,如果要选取铅笔工具,应在绘图工具箱中单击( )工具。 A . B . C . D . 9、 要在时间轴上插入空白关键帧,应当使用的快捷键是( )。 A. F5 B. F 9 C . F8 D. F7 10、 下面对矢量图和象素图描述不正确的是:( )。 A .位图(点阵)的基本组成单元是象素 B .点阵图的基本组成单元是锚点和路径 C .Adobe Illustrator 图形软件能够生成矢量图 D .Adobe photoshop 能够生成位图 11、 对于在网络上播放动画来说,最合适的帧频率是: A.每秒24帧 B.每秒25帧 C.每秒12帧 D.每秒16帧 12、 Dreamweaver 可以导入的表格式数据的格式是( )。 A. 文本格式 格式 格式 D.任意格式 13、 如果想让页面具有相同的页面布局,那么最好使用哪种技术( )。 A.库 B.模板 C.库或模板均可 D.每个页面单独设计 14、 Dreamweaver 中,库项目可以包含( )元素。 装 订 区

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

电子设计与制作大赛题目.doc

2011年湖北民族学院大学生电子设计与制作大赛试题 参赛注意事项 (1)2011年5月27日8:00竞赛正式开始。专业组参赛队只能A、B、C题目中任选一题;非专业组参赛队原则上在D、E、F题中任选一题,也可以选择其他题目。 (2)每队严格限制3人,开赛后不得中途更换队员。 (3)竞赛期间,可使用各种图书资料和网络资源。 (4)2011年6月12日10:00竞赛结束,上交设计报告、制作实物及《登记表》,由专人封存。 数字助听器(A题) 【专业组】 一、任务 设计并制作数字助听器,其组成如图A-1所示。音量控制可设置;程控滤波器可实现 滤波器通带、阻带相关参数设置。 麦克风前置 放大 电路 程控滤波、 程控音量控制 功率 放大 受话器图A-1 数字助听器组成框图 二、要求 1.基本要求 (1)系统通频带为300~4KHz; (2)电压增益至少40dB,增益10dB步进可调,放大器输出电压无明显失真。 (3)滤波器可设置为低通滤波器,其-3dB截止频率f c在100Hz~10kHz范围内可调,调节的频率步进为1kHz;滤波器可设置为高通滤波器,其-3dB截止频率f c在 100Hz~10kHz范围内可调,调节的频率步进为1kHz。 (4)电压增益与截止频率的误差均不大于10%。 2.发挥部分 (1)频率范围。频率范围在80~10KHz之间; (2)电压增益为60dB以上,增益10dB步进可调,电压增益误差不大于5%。 (3)其他。 三、说明 设计报告正文应包括系统总体框图、理论分析和计算、核心电路原理图、主要流程图和主要的测试结果。完整的电路原理图、重要的源程序和完整的测试结果可用附件给出。四、评分标准

最新南京邮电大学电子设计模拟竞赛试题

2013年南京邮电大学电子设计模拟竞赛试 题

2013年南京邮电大学电子设计竞赛 暨全国大学生电子设计竞赛 模拟赛试题 参赛注意事项 (1)2013年8月5日12:30竞赛正式开始。 (2)参赛者必须是有正式学籍的全日制在校本科学生。 (3)每队严格限制3人,开赛后不得中途更换队员。 (4)竞赛期间,可使用各种图书资料和网络资源,但不得在学校指定竞赛场地外进行设计制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取消评审资格。 (5)2013年8月9日20:30竞赛结束,上交设计报告、自行保成制作实物,等待 简易信号跟踪监测仪(A题) 一、任务 设计一个以128×64LCD为显示屏为波形和参数显示装置的简易信号跟踪监测 仪。其设计原理框图见如下图1。 输入信号为幅度可变的函数信号,在一定的频率范围内连续变化或随机出 现(频率跳变),跟踪将以最快速的速度跟踪并捕捉到信号(锁定),用参数

和图形的方式显示信号特性。参数包括信号频率、幅度(峰值)、信号类型(注1)、跟踪时间(从启动跟踪到显示波形和参数)。 技术要求 (1)基本要求 1)信号频率范围:100KHz—1MHz,最小步进1KHz,可设定。 2)信号输出幅度:100mV—2Vrms(5.6Vp-p),误差≤5%。 3)信号跟踪时间:200ms—20S(手动和自动跟踪)。 4)显示信号的参数。 5)显示被测信号的时域波形。(注1) (2)发挥要求 6)信号频率范围:100KHz—10MHz,最小步进100Hz,可设定。 7)信号输出幅度:10mV—2Vrms(5.6Vp-p),误差≤5%。 8)信号跟踪时间:200ms—20S(手动和自动跟踪)。 9)显示信号的参数。 10)显示被测信号的时域波形。(注1) 11)参数和波形迭加显示。 注1:信号类型即显示被测信号的时域波形,需将输入信号直接接入AGC放大器(在信号输入端增加一个信号开关),需要考虑信号频率和AD变换器的转换速度之间的关系。 2:图中变频并不限制为一次变频,须根据实际方案考虑。 3:图中低通滤波器也可采用带通实现,中心频率不限,带宽小于100KHz。

最新江苏省大学生电子设计竞赛ti杯试题汇总汇总

2012年江苏省大学生电子设计竞赛T I杯 试题汇总

2012年江苏省大学生电子设计竞赛(TI 杯)试题 参赛注意事项 (1)2012年8月5日8:00竞赛正式开始。本科组参赛队只能在A 、B 、C 、D 、E 题目中任选一题;高职高专组参赛队原则上在F 、G 、H 题中任选一题,也可以选择其他题目。 (2)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者 学生身份的有效证件(如学生证)随时备查。 (3)每队严格限制3人,开赛后不得中途更换队员。 (4)竞赛期间,可使用各种图书资料和网络资源,但不得在学校指定竞赛场地外进 行设计制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取消评审资格。 (5)2012年8月8日20:00竞赛结束,上交设计报告、制作实物及《登记表》,由 专人封存。 微弱信号检测装置(A 题) 【本科组】 一、任务 设计并制作一套微弱信号检测装置,用以检测在强噪声背景下已知频率的微弱正弦波信号的幅度值,并数字显示出该幅度值。为便于测评比较,统一规定显示峰值。整个系统的示意图如图1所示。正弦波信号源可以由函数信号发生器来代替。噪声源采用给定的标准噪声(wav 文件)来产生,通过PC 机的音频播放器或MP3播放噪声文 件,从音频输出端口获得噪声源,噪声幅度通过调节播放器的音量来进行控制。图中A 、B 、C 、D 和E 分别为五个测试端点。 图1 微弱信号检测装置示意图 二、要求 正弦波信号 微弱信号 检测电路 噪声源 V S V N V C V o 纯电阻 分压网络 显示 电路 加 法V i A B C D E

2019年全国大学生电子设计竞赛赛题J题_模拟电磁曲射炮

2019年全国大学生电子设计竞赛试题 参赛注意事项 (1)8月7日8:00竞赛正式开始。本科组参赛队只能在【本科组】题目中任选一题;高职高专组参赛队在【高职高专组】题目中任选一题,也可以选择【本科组】题目。(2)参赛队认真填写《登记表》内容,填写好的《登记表》交赛场巡视员暂时保存。(3)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者学生身份的有效证件(如学生证)随时备查。 (4)每队严格限制3人,开赛后不得中途更换队员。 (5)竞赛期间,可使用各种图书资料和网络资源,但不得在学校指定竞赛场地外进行设计制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取消评审资格。 (6)8月10日20:00竞赛结束,上交设计报告、制作实物及《登记表》,由专人封存。 模拟电磁曲射炮(J题) 【高职高专组】 一、任务 自行设计并制作一模拟电磁曲射炮(以下简称电磁炮),炮管水平方位及垂直仰角方向可调节,用电磁力将弹丸射出,击中目标环形靶(见图3),发射周期不得超过30秒。电磁炮由直流稳压电源供电,电磁炮系统内允许使用容性储能元件。

引 二、要求 电磁炮与环形靶的位置示意如图1及图2所示。电磁炮放置在定标点处,炮管初始水平方向与中轴线夹角为0°、垂直方向仰角为0°。环形靶水平放置在地面,靶心位置在与定标点距离200cm≤d≤300cm,与中心轴线夹角a≤±30°的范围内。 1.基本要求 (1)电磁炮能够将弹丸射出炮口。 (2)环形靶放置在靶心距离定标点200~300cm间,且在中心轴线上的位置,键盘输入距离d值,电磁炮将弹丸发射至该位置,距离偏差的绝 对值不大于50cm。 (3)环形靶放置在中心轴线上,用键盘给电磁炮输入环形靶中心与定标点的距离d,一键启动后,电磁炮自动瞄准射击,按击中环形靶环数计 分;若脱靶则不计分。 2.发挥部分 (1)环形靶位置参见图2,用键盘给电磁炮输入环形靶中心与定标点的距离d及与中心轴线的偏离角度a,一键启动后,电磁炮自动瞄准射击, 按击中环形靶环数计分;若脱靶则不计分。 (2)在指定范围内任给环形靶(有引导标识,参见说明2)的位置,一键启动后,电磁炮自动搜寻目标并炮击环形靶,按击中环形靶环数计分,

2009年全国大学生电子设计大赛题目(全)

光伏并网发电模拟装置(A 题) 【本科组】 一、任务 设计并制作一个光伏并网发电模拟装置,其结构框图如图1所示。用直流稳压电源U S 和电阻R S 模拟光伏电池,U S =60V ,R S =30Ω~36Ω;u REF 为模拟电网电压的正弦参考信号,其峰峰值为2V ,频率f REF 为45Hz~55Hz ;T 为工频隔离变压器,变比为n 2:n 1=2:1、n 3:n 1=1:10,将u F 作为输出电流的反馈信号;负载电阻R L =30Ω~36Ω。 R L U S 图1 并网发电模拟装置框图 二、要求 1.基本要求 (1)具有最大功率点跟踪(MPPT )功能:R S 和R L 在给定范围内变化时, 使d S 1 2 U U =,相对偏差的绝对值不大于1%。 (2)具有频率跟踪功能:当f REF 在给定范围内变化时,使u F 的频率f F =f REF , 相对偏差绝对值不大于1%。 (3)当R S =R L =30Ω时,DC-AC 变换器的效率η≥60%。 (4)当R S =R L =30Ω时,输出电压u o 的失真度THD ≤5%。 (5)具有输入欠压保护功能,动作电压U d (th )=(25±0.5)V 。 (6)具有输出过流保护功能,动作电流I o (th )=(1.5±0.2)A 。 2.发挥部分 (1)提高DC-AC 变换器的效率,使η≥80%(R S =R L =30Ω时)。 (2)降低输出电压失真度,使THD ≤1%(R S =R L =30Ω时)。 (3)实现相位跟踪功能:当f REF 在给定范围内变化以及加非阻性负载时,

均能保证u F 与u REF 同相,相位偏差的绝对值≤5°。 (4)过流、欠压故障排除后,装置能自动恢复为正常状态。 (5)其他。 三、说明 1.本题中所有交流量除特别说明外均为有效值。 2.U S 采用实验室可调直流稳压电源,不需自制。 3.控制电路允许另加辅助电源,但应尽量减少路数和损耗。 4.DC-AC 变换器效率o d P P η= ,其中o o1o1P U I =?,d d d P U I =?。 5.基本要求(1)、(2)和发挥部分(3)要求从给定或条件发生变化到电路 达到稳态的时间不大于1s 。 6.装置应能连续安全工作足够长时间,测试期间不能出现过热等故障。 7.制作时应合理设置测试点(参考图1),以方便测试。 8.设计报告正文中应包括系统总体框图、核心电路原理图、主要流程图、 主要的测试结果。完整的电路原理图、重要的源程序和完整的测试结果用附件给出。

电子设计自动化技术课件答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

2003年全国大学生电子设计竞赛试题

2003年全国大学生电子设计竞赛试题 参赛注意事项 (1)2003年9月15日8:00竞赛正式开始,每支参赛队限定在提供的A、B、C、D、E、F题中任选一题;认真填写《登记表》各栏目内容,填写好的《登记表》由赛场巡视员暂时保存。 (2)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者学生身份的有效证件(如学生证)随时备查。 (3)每队严格限制3人,开赛后不得中途更换队员。 (4)竞赛期间,可使用各种图书资料和网络资源,但不得在学校指定竞赛场地外进行设计制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取消评审资格。 电压控制LC振荡器(A题) 一、任务 设计并制作一个电压控制LC振荡器。 二、要求 1、基本要求 (1)振荡器输出为正弦波,波形无明显失真。 (2)输出频率范围:15MHz~35MHz。 (3)输出频率稳定度:优于10-3。 (4)输出电压峰-峰值:V p-p=1V±0.1V。 (5)实时测量并显示振荡器输出电压峰-峰值,精度优于10%。 (6)可实现输出频率步进,步进间隔为1MHz 100kHz。 2、发挥部分 (1)进一步扩大输出频率范围。 (2)采用锁相环进一步提高输出频率稳定度,输出频率步进间隔为100kHz。 (3)实时测量并显示振荡器的输出频率。 (4)制作一个功率放大器,放大LC振荡器输出的30MHz正弦信号,限定使用E=12V 的单直流电源为功率放大器供电,要求在50Ω纯电阻负载上的输出功率≥20mW, 尽可能提高功率放大器的效率。 (5)功率放大器负载改为50Ω电阻与20pF电容串联,在此条件下50Ω电阻上的输出功率≥20mW,尽可能提高放大器效率。 (6)其它。 三、评分标准 项目满分 基本要求设计与总结报告:方案比较、设计与论证,理论分析与计 算,电路图及有关设计文件,测试方法与仪器,测试数据 及测试结果分析。 50 实际制作完成情况50

电子设计自动化期中练习题

西南交通大学2016-2017学年第(1)学期期中考试试卷 课程代码 0371043 课程名称 电子设计自动化(含实验)考试时间120分钟 题号 一 二 三 四 五 六 七 八 九 十 总成绩 得分 阅卷教师签字: 一、填空题(每空2分,共6分) 1.对模块中用到的所有信号(包括每个端口)都必须声明其数据类型,若未声明,则综合器 将其默认为 型数据。 2. 设计输入是设计者将所要设计的电路以开发软件要求的某种形式表达出来,并输入到相 应软件中的过程。可移植性最好的输入方式是 。 3. 运算符相当于实现了一个if-else 语句的功能。 二、选择题(每空2分,共6分) 1. 数据流描述通常指通过 语句对设计进行的描述。 A. 元件例化语句 B. 持续赋值语句 C. 行为语句 2. 基于一定的设计工艺,以版图形式描述的、而且用户不能改动的设计模块,称 。 A. 软核 B. 固核 C. 硬核 3.下面的说法正确的是 。 A.一个模块中的多个always 过程块是依次按顺序执行的。 B. 不可以在同一模块中的不同always 语句中对同一个变量赋值。 C. 电平敏感型信号常用在时序逻辑电路的设计中,边沿敏感型信号常用在组合逻辑电路的设计中。 D. 进程的并发执行与各进程在程序中的位置有关。 三、分析及简答题(共33分) 1. 下面的程序有错吗?若有,请指明所有错误所在之处,并说明理由。(8分) 1 module 2010(CLK,A,B,C,D); 2 input CLK,A,B; 班 级 学 号 姓 名 密封装订线 密封装订线 密封装订线

4 always @(posedge CLK) 5 begin if(A=1) C=1; else C=0; D=0; end 6 always @(negedge CLK) 7 D=1; 8 endmodule

相关文档
最新文档