微电子工艺整理.doc

微电子工艺整理.doc
微电子工艺整理.doc

微电子工艺引论

硅片、芯片的概念

硅片:制造电子器件的基本半导体材料硅的圆形单晶薄片

芯片:由硅片生产的半导体产品

*什么是微电子工艺技术?微电子工艺技术主要包括哪些技术?

微电子工艺技术:在半导体材料芯片上采用微米级加工工艺制造微小型化电子元器件和微型化电路技术

主要包括:超精细加工技术、薄膜生长和控制技术、高密度组装技术、过程检测和过程控制技术等

集成电路制造涉及的五个大的制造阶段的内容

硅片制备:将硅从沙中提炼并纯化、经过特殊工艺产生适当直径的硅锭、将硅锭切割成用于制造芯片的薄硅片

芯片制造:硅片经过各种清洗、成膜、光刻、刻蚀和掺杂步骤,一整套集成电路永久刻蚀在硅片上

芯片测试/拣选:对单个芯片进行探测和电学测试,挑选出可接受和不可接受的芯片、为有缺陷的芯片做标记、通过测试的芯片将继续进行以后的步骤

装配与封装:对硅片背面进行研磨以减少衬底的厚度、将一片厚的塑料膜贴在硅片背面、在正面沿着划片线用带金刚石尖的锯刃将硅片上的芯片分开、在装配厂,好的芯片被压焊或抽空形成装配包、将芯片密封在塑料或陶瓷壳内

终测:为确保芯片的功能,对每一个被封装的集成电路进行电学和环境特性参数的测试IC工艺前工序、IC工艺后工序、以及IC工艺辅助工序

IC工艺前工序:(1)薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发) 等

(2)掺杂技术:主要包括扩散和离子注入等技术

(3)图形转换技术:主要包括光刻、刻蚀等技术

IC工艺后工序:划片、封装、测试、老化、筛选

IC工艺辅助工序:超净厂房技术

超纯水、高纯气体制备技术

光刻掩膜版制备技术

材料准备技术

微芯片技术发展的主要趋势

提高芯片性能(速度、功耗)、提高芯片可靠性(低失效)、降低芯片成本(减小特征尺寸,增加硅片面积,制造规模)

什么是关键尺寸(CD)?

芯片上的物理尺寸特征称为特征尺寸,特别是硅片上的最小特征尺寸,也称为关键尺寸或CD

(2)半导体材料

本征半导体和非本征半导体的区别是什么?

本征半导体:不含任何杂质的纯净半导体,其纯度在99.999999%(8~10个9)

为何硅被选为最主要的半导体材料?

a) 硅的丰裕度——制造成本低

b) 熔点高(1412 OC)——更宽的工艺限度和工作温度范围

c) SiO2的天然生成

GaAs相对Si的优点和缺点是什么?

优点:

a) 比硅更高的电子迁移率,高频微波信号响应好——无线和高速数字通信

b) 抗辐射能力强——军事和空间应用

c) 电阻率大——器件隔离容易实现

主要缺点:

a) 没有稳定的起钝化保护作用的自然氧化层

b) 晶体缺陷比硅高几个数量级

c) 成本高

(3)圆片的制备

两种基本的单晶硅生长方法。

直拉法(CZ法)、区熔法

晶体缺陷根据维数可分为哪四种?

点缺陷—空位、自填隙等

线缺陷—位错

面缺陷—层错

体缺陷

*画出圆片制备的基本工艺步骤流程图,并绘出其中任意三个步骤的主要作用。

(4)沾污控制

净化间污染分类

颗粒、金属杂质、有机物沾污、自然氧化层、静电释放(ESD)。

半导体制造中,可以接受的颗粒尺寸粗略法则

必须小于最小器件特征尺寸的一半。

金属污染的主要来源

化学溶液、导体制造中的各种工序,如:离子注入、学品与传输管道反应、学品与容器反应

*超净服的目标:

(1)对身体产生的颗粒和浮质的总体抑制

(2)超净服系统颗粒零释放

(3)对ESD的零静电积累

(4)无化学和生物残余物的释放

什么是可动离子污染?

金属杂质以离子形式出现,且是高度活动性;危害半导体工艺的典型金属杂质是碱金属。如钠,就是最常见的可移动离子沾污物,而且移动性最强

静电释放的概念及带来的问题

概念:静电释放也是一种形式的沾污,因为它是静电荷从一个物体向另一个物体未经控制地转移,可能损坏芯片

带来的问题:(1)发生在几个纳秒内的静电释放能产生超过1A的峰值电流蒸发金属导线和穿透氧化层

击穿栅氧化层的诱因

(2)吸附颗粒到硅片表面

颗粒越小,静电的吸引作用就越明显

器件特征尺寸的缩小,更需要严格控制硅片放电

芯片生产厂房的七种污染源

空气、厂房、水、工艺用化学品、工艺气体、生产设备

芯片表面的颗粒数与工艺步骤数之间的关系图。

硅片清洗目标:

去除所有表面沾污(颗粒、有机物、金属、自然氧化层)

(4)工艺腔内的气体控制

工艺用气体通常分为哪两类?

a) 通用气体:氧气(O2)、氮气(N2)、氢气(H2)、氦气(He)和氩气(Ar),纯度要控制在7个9(99.99999%)以上

b) 特殊气体:指一些工艺气体以及其它在半导体集成电路制造中比较重要的气体,纯度要控制在4个9 (99.99%)以上

常见的初级泵和高级泵。

常见的两种初级泵:

a) 干性机械泵

b) 增压/调压泵:可处理大量气体而不需要润滑剂,增压器通常被称为罗茨增压泵

常见的两种高真空泵:

a) 加速分子泵(涡轮泵):是一种多用途、可靠的洁净泵,运作机理是机械化的压缩

b) 冷凝泵:是一种俘获式泵,它通过使气体凝结并俘获在泵中的方式去除工艺腔体中的气体

质量流量计(MFC)的概念

利用气体的热传输特性,直接测量进入腔体的质量流量比率,来控制进入腔体的气流

残气分析器(RGA)最常见的用途和基本构成。

用途:a)用来检验残留在已清空系统中的气体分子的类型

b)检漏

c)工艺中的故障查询

基本构成:

氧化

氧化物的两种产生方式

热氧化生长、淀积

氧化层在芯片制备中有哪几方面的应用?

(1)保护器件免受划伤和隔离污染

(2)限制带电载流子场区隔离(表面钝化)

(3)栅氧或储存器单元结构中的介质材料

(4)掺杂中的注入掩蔽

(5)金属导电层间的介质层

表面钝化的概念

SiO2可以通过束缚Si的悬挂键,从而降低它的表面态密度,这种效果称为表面钝化;能防止电性能退化,并减少由潮湿、离子或其他外部污染物引起的漏电流的通路

关于氧化的两种化学发应

干氧氧化Si(固)+ O2(气)SiO2(固)

湿氧氧化Si(固)+ 2H2O(水汽)SiO2(固)+ 2H2(气)

*氧化物生长的两个阶段及生长厚度的公式:

线性阶段氧化物生长厚度X=(B/A)t

抛物线阶段X=(Bt)1/2

注:X:氧化物生长厚度B/A:为线性速率系数,温度升高系数增大

B:抛物线速率系数t:为生长时间

用于热工艺的三种基本设备

卧式炉、立式炉、快速热处理(RTP)

硅的局部氧化(LOCOS工艺)剖面图

掺杂

掺杂的两种方法

a)热扩散:利用高温驱动杂质穿过硅的晶格结构。这种方法受到时间和温度的影响

b)离子注入:通过高压离子轰击把杂质引入硅片现代晶片制造中几乎所有掺杂工艺都是使用离子注入

列举半导体制造中常用的四种杂质,并说明是n型还是p型

扩散发生需要的两个必要条件

a)浓度差

b)过程所必须得能量

热扩散的三个步骤,以及它们的作用

(1)预淀积:

a) 为整个扩散过程建立浓度梯度

b) 炉温一般800~1000 0C

(2)推进:

a)将由预淀积引入的杂质作为扩散源,在高温下进行扩散。目的是为了控制表面浓度和扩散深度

b)1000~1250 0C

(3)激活:稍为升高炉温,使杂质原子与晶格中的硅原子键合

*离子注入的优缺点

优点:精确控制杂质含量、很好的杂质均匀性、对杂质穿透深度有很好的控制、产生单一离子束、低温工艺、注入的离子能穿过薄膜、无固溶度极限

缺点:a)高能杂质离子轰击硅原子将对晶体结构产生损伤(可用高温退火进行修复)

b)注入设备的复杂性(这一缺点被注入机对剂量和深度的控制能力及整体工艺的灵活性弥补)重要的离子注入参数剂量、射程

剂量和能量的公式

剂量的计算公式:Q=(It)/(enA) I为束流,单位是库仑每秒(安培)

t为注入时间,单位是秒

e是电子电荷,等于1.6 x 10-19库仑

A是注入面积,单位是cm2

描述能量的公式为:KE=nV KE为能量,单位是电子伏特(eV)

n为离子电荷

V为电势差,单位是伏特

离子注入设备的五个主要子系统

a)离子源:从气态或固态杂质中产生正离子

b)引出电极(吸极)和离子分析器:吸极系统--离子源中产生的所有正离子,并使它们形成离子束,子分析器--将需要的杂质离子从混合的离子束中分离出来

c)加速管:为了获得更高的速度,正离子还要在加速管中的电场下进行加速

d)扫描系统:聚束离子束通常很小,必须通过扫描覆盖整个硅片,扫描的方式有两种?固定硅片,移动束斑——中低电流注入

?固定束斑,移动硅片——大电流注入

e)工艺室:离子束向硅片的注入发生在工艺腔中,包括扫描系统、具有真空锁的装卸硅片的终端台、硅片传输系统和计算机控制系统

退火的目的是什么?高温退火和RTA哪个更优越?

退火的目的:退火能够加热被注入硅片,修复晶格缺陷;还能使杂质原子移动到晶格点,将其激活

高温炉退火:是一种传统的退火方式,用高温炉把硅片加热至800~1000℃并保持30分钟

在此温度下,硅原子重新移回晶格位置,杂质原子也能替代硅原子位置进入晶格此方法可能会导致杂质的扩散

快速热退火(RTA):用极快的升温和在目标温度(一般是1000℃)短暂的持续时间对硅片进行处理

快速的升温过程和短暂的持续时间能够在晶格缺陷的修复、激活杂质和最小化杂质扩散三者间取得优化

描述沟道效应,控制沟道效应的四种方法

沟道效应:当注入离子未与硅原子碰撞减速,而是穿透了晶格间隙时,就发生了沟道效应

注入过程中有4种方法控制沟道效应:* 倾斜硅片* 掩蔽氧化层

* 硅预非晶化* 使用质量较大的原子

列举十个使用离子注入的掺在工艺

(1)深埋层(2)倒掺杂阱(3)穿通阻挡层(4)阈值电压调整(5)轻掺杂漏区(LDD)(6)源漏注入(7)多晶硅栅(8)沟槽电容器(9)超浅结(10)绝缘体上硅(SOI)

光刻

光刻的概念及本质:

(1)光刻指的是将图形转移到一个平面的任一复制过程

(2)光刻的本质是把临时电路结构复制到以后要进行刻蚀和离子注入的硅片上

在主流微电子制造过程中,光刻是最复杂、最昂贵和最关键的工艺*光刻工艺的八个基本步骤:

气相成底膜、旋转涂胶、软烘、对准和曝光、曝光后烘焙、显影、坚膜烘焙、显影检查

光刻胶的概念及目的:

概念:一种有机化合物,受紫外光曝光后,在显影液中的溶解度会发生变化

目的:(1)将掩模版图案转移到硅片表面顶层的光刻胶中

(2)在后续工艺中,保护光刻胶下面的材料(如刻蚀或离子注入的阻挡层)光刻胶显影参数:显影温度、显影时间、显影液量、当量浓度、清洗、排风、硅片吸盘*正胶和负胶显影效果:

正性光刻胶:曝光区域溶解于显影液,显影后图形与掩模版图形一样

负性光刻胶:曝光区域不溶解于显影液,显影后图形与掩模版图形相反常用于光学光刻的两种紫外光源

汞灯、准分子激光

反射切口、驻波的概念、抗反射涂层的作用

反射切口:在刻蚀形成的垂直侧墙表面,反射光入射到不需要曝光的光刻胶中就会形成反射切口

驻波:入射光与反射光发射干涉引起;引起随光刻胶厚度变化的不均匀曝光

抗反射涂层:减小光反射和阻止光干涉;20~200nm

*分辨率的概念以及计算

概念:分辨率是将硅片上两个邻近的特征图形区分开来的能力

是光刻中一个重要的性能指标

计算

从早期硅片制造以来的光刻设备可分为哪五代?列举任意两种的优缺点

(1)接触式光刻机优点:图像失真小,图形分辨率高

缺点:依赖人为操作、容易沾污

(2)接近式光刻机优点:掩模版不与光刻胶直接接触,大大减小了沾污

缺点:紫外光线通过空气时发散,减小了分辨率

(3)扫描投影光刻机

(4)分步重复光刻机

(5)步进扫描光刻机

可以成功代替光学光刻技术的四种光刻技术

(1)极紫外(EUV)光刻技术

(2)角度限制投影电子束光刻技术(SCALPEL)

(3)离子束投影光刻技术(IPL)

(4)X射线光刻技术

刻蚀

刻蚀的概念及其基本目的

概念:用化学或物理方法有选择地从硅片表面去除不需要的材料的过程

基本目的:在涂胶的硅片上正确地复制掩模图形

两种基本的刻蚀工艺

a)干法刻蚀:干法刻蚀是用等离子体去除硅片表面材料,物理过程、化学过程、或两种反应的混合

b)湿法腐蚀:用液体化学试剂去除硅片表面材料

等离子体的概念

一种中性、高能量、离子化的气体,包含中性原子或分子、带电离子和自由电子选择比的概念

同一刻蚀条件下一种材料与另一种材料相比刻蚀速率快多少,定义为被刻蚀材料的刻蚀速率与另一种材料的刻蚀速率的比,高选择比在最先进的工艺中为了确保关键尺寸和剖面控制是必须的,关键尺寸越小,选择比要求越高

*负载和微负载效应的概念

负载效应:要刻蚀硅片表面的大面积区域,则会耗尽刻蚀剂浓度使刻蚀速率慢下来;如果刻蚀的面积比较小,则刻蚀会快些

微负载效应:深宽比相关刻蚀(ARDE))——具有高深宽比硅槽的刻蚀速率要比具有低深宽比硅槽的刻蚀速率慢

干法刻蚀的应用

介质——氧化物和氮化硅

硅——多晶硅栅和单晶硅槽

金属——铝和钨

湿法腐蚀相比干法刻蚀的优点

(1)对材料具有高的选择比(2)不会对器件带来等离子体损伤(3)设备简单

淀积

淀积膜的过程的三种不同阶段

(1)晶核形成,成束的稳定小晶核形成

(2)聚集成束,也称为岛生长

(3)形成连续的膜

化学气相淀积(CVD)的概念,有哪五种基本化学反应

(1)高温分解:通常在无氧的条件下,通过加热化合物分解

(2)光分解:利用辐射使化合物的化学键断裂分解

(3)还原反应:反应物分子和氢发生的反应

(4)氧化反应:反应物原子或分子和氧发生的反应

(5)氧化还原反应:还原反应和氧化反应的组合,反应后形成两种新的化合物

CVD中质量传输限制和表面反应控制限制的概念

(1)质量传输限制

CVD反应的速率不可能超过反应气体传输到硅片上的速率

无论温度如何,若传输到硅片表面加速反应的反应气体的量都不足。在此情况下,CVD 工艺通常是受质量传输所限制的

(2)表面反应控制限制

在更低的反应温度和压力下,驱动表面反应的能量更小,表面反应速度会降低

反应物到达表面的速度将超过表面化学反应的速度

这种情况下,淀积速度是受化学反应速度限制的

*APCVD、TEOS-O3方法淀积SiO2的反应方程式

Si(C2H5O4) + 8O3 ——> SiO2 + 10H2O + 8CO2

用PECVD制备SiO2反应方程式

LPCVD淀积多晶硅的化学反应方程式

PECVD淀积氮化硅的化学反应方程式

HPCVD工艺的五个步骤

(1)离子诱导淀积:指离子被托出等离子体并淀积形成间隙填充的现象

(2)溅射刻蚀:具有一定能量的Ar和因为硅片偏置被吸引到薄膜的反应离子轰击表面并刻蚀原子

(3)再次淀积:原子从间隙的底部被剥离,通常会再次淀积到侧壁上

(4)热中性CVD:这对热能驱动的一些淀积反应有很小的贡献;

(5)反射:离子反射出侧壁,然后淀积,是另一种贡献

(1)外延的概念

在单晶衬底上淀积一层薄的单晶层

外延为器件设计者在优化器件性能方面提供了很大的灵活性

外延层可以减少CMOS器件中的闩锁效应

同质外延:生长的膜和衬底的材料相同

异质外延:生长的膜和衬底的材料不同

(2)IC制造中一般采用的三种外延方法

1、气相外延(VPE):常用的硅外延方法,属于CVD范畴

2、金属有机CVD(MOCVD):用来淀积化合物半导体外延层,也被研究用来淀积有机低k绝缘介质

3、分子束外延(MBE):用来淀积GaAs异质外延层,也用来在硅衬底上淀积硅并能严格控制外延层厚度和掺杂的均匀性

*介质材料的三个主要用途,其中哪个的发展趋势是高K,哪个是低K?

层间介质(ILD)、栅氧化层、器件隔离

层间介质的发展趋势是低k 材料

*随着特征尺寸的减小,门延迟与互连延迟分别怎么变化?

金属化

金属化、互连、接触、通孔的概念

金属化:应用化学或物理处理方法在绝缘介质薄膜上淀积金属薄膜

随后刻印图形以便形成互连金属线和集成电路的孔填充塞的过程

互连(interconnect):由导电材料,如铝、多晶硅或铜制成的连线将电信号传输到芯片的不同部分

接触(contact):硅芯片内的器件与第一层金属之间在硅表面的连接

通孔(via):穿过各种介质层从某一金属层到毗邻的另一金属层形成电通路的开口硅芯片制造业中各种金属和金属合金

a)铝b)铝铜合金c)铜d)阻挡层金属e)硅化物f)金属填充物

*IC互连金属化引入铜的优点

a)电阻率的减小:在20℃时,互连金属线的电阻率从铝的2.65 mW-cm 减小到铜的1.678 mW-cm ;减少RC的信号延迟,增加芯片速度

b)功耗的减少:减小了线的宽度,降低了功耗

c)更高的集成密度:更窄的线宽,允许更高密度的电路集成,这意味着需要更少的金属层

d)良好的抗电迁徒性能:铜不需要考虑电迁徒问题

e)更少的工艺步骤:用大马士革方法处理铜具有减少工艺步骤20%to 30 %的潜力

自对准金属硅化物的形成工艺剖面图

*用双大马士革法的铜金属化的十个步骤

器件技术简介

无源元件和有源元件分别有哪些?

无源器件:电阻、电容

有源器件:二极管、晶体管

增强型和耗尽型MOSFET的区别

*CMOS反相器的顶视图

CMOS集成电路制造工艺

亚微米CMOS IC制造厂可分为哪六种独立的生产区?

a)扩散(包括氧化、膜淀积和掺杂工艺)b)光刻c)刻蚀d)薄膜e)离子注入f)抛光

*以双阱工艺的CMOS反相器为例,CMOS制作的基本步骤,以及前六个步骤的剖面图或在完整剖面上表面各个步骤的位置

1)双阱工艺

2)浅槽隔离工艺

3)多晶硅栅结构工艺

4)轻掺杂漏(LDD)注入工艺

5)侧墙的形成

6)源/漏(S/D)注入工艺

7)接触孔的形成

8)局部互连工艺

9)通孔1和金属塞1的形成

10)金属1互连的形成

11)通孔2和金属塞2的形成

12)金属2互连的形成

13)制作金属3直到制作压点及合金

14)参数测试

STI工艺的三个步骤及剖面图

a)槽刻蚀

b)氧化物填充

c)氧化物平坦化

硅片测试

各种薄膜厚度的典型测量技术:

椭偏仪—非破坏、非接触的光学薄膜厚度测试技术,主要用于测透明的薄膜

X射线荧光技术—主要用于单层薄膜的测量

光声技术—可用于测量金属薄层

掺杂浓度的典型测量方法:

四探针法—最典型的应用是高掺杂浓度

热波系统—可用于监测离子注入剂量浓度

扩展电阻探针(SRP)—用于测量掺杂浓度

电容-电压特性测试—也能用于测量掺杂浓度

二次离子质谱仪(SIMS)—测量掺杂类型以及杂质浓度

IC产品的不同电学测试

在线参数测试的原因

(1)鉴别工艺问题:硅片制造过程中工艺问题的早期鉴定

(2)通过/失效标准:决定硅片是否继续后面的制造程序

(3)数据收集:为改变工艺,收集硅片数据以评估工艺倾向

(4)特殊测试:在需要的时候评估特殊性能参数

(5)硅片级可靠性:需要确定可靠性与工艺条件的联系时,进行随机的硅片级可靠性测试

硅片拣选参数测试的目标

(1)芯片功能:检验所有芯片功能的操作,确保只有好的芯片被送到装配和封装的下一个IC生产阶段

(2)芯片分类:根据工作速度特性对好的芯片进行分类

(3)生产成品率响应:提供重要的成品率信息,以评估和改善整体制造工艺的能力(4)测试覆盖率:用最小的成本得到较高的内部器件测试覆盖率

硅片测试

集成电路封装的四个重要功能

a)保护芯片以免由环境和传递引起损坏

b)为芯片的信号输入和输出提供互连

c)芯片的物理支撑

d)散热

引线键合的概念的三种方法

a)热压键合b)超声键合c)热超声球键合

*先进的集成电路封装设计有哪些?

a)倒装芯片

b)球栅阵列(BGA)

c)板上芯片(COB)

d)卷带式自动键合(TAB)

e)多芯片模块(MCM)

f)芯片尺寸封装(CSP)

g)圆片级封装

微电子工艺论文----光刻胶解读

光刻胶的深入学习与新型光刻胶 张智楠 电科111 信电学院山东工商学院 264000 摘要:首先,本文从光刻中的光刻胶、光刻胶的分类、光刻胶的技术指标(物理特性)这几个方面对光刻工艺中的光刻胶进行了详细的深入学习;其次,介绍了当代几种应用广泛的光刻胶以及新型光刻胶;最后,对光刻胶的发展趋势进行了简单的分析。 关键词:光刻、光刻胶、紫外负型光刻胶、紫外正型光刻胶、远紫外光刻胶。 光刻(photoetching)工艺可以称得上是微电子工艺中最为关键的技术,决定着制造工艺的先进程度。光刻就是,在超净环境中,将掩膜上的几何图形转移到半导体晶体表面的敏光薄材料上的工艺过程。而此处的敏光薄材料就是指光刻胶(photoresist)。光刻胶又称光致抗蚀剂、光阻或光阻剂,由感光树脂、增感剂和溶剂三种主要成分组成的对光敏感的混合液体。感光树脂经光照后,在曝光区能很快地发生光固化反应,使得这种材料的物理性能,特别是溶解性、亲合性等发生明显变化。经适当的溶剂处理,溶去可溶性部分,得到所需图像。 光刻胶的技术复杂,品种较多。对此探讨以下两种分类方法: 1、光刻胶根据在显影过程中曝光区域的去除或保留可分为两种——正性光刻胶(positive photoresist)和负性光刻胶(negative photoresist)。正性光刻胶之曝光部分发生光化学反应会溶于显影液,而未曝光部份不溶于显影液,仍然保留在衬底上,将与掩膜上相同的图形复制到衬底上。负性光刻胶之曝光部分因交联固化而不溶于阻显影液,而未曝光部分溶于显影液,将与掩膜上相反的图形复制到衬底上。正胶的优点是分辨率比较高,缺点是粘附性不好,阻挡性弱。与之相反,负胶的粘附性好,阻挡性强,但是分辨率不高。 2、基于感光树脂的化学结构,光刻胶可以分为三种类型。一是光聚合型,采用烯类单体,在光作用下生成自由基,自由基再进一步引发单体聚合,最后生成聚合物,具有形成正像的特点。二是光分解型,采用含有叠氮醌类化合物的材料,经光照后,会发生光分解反应,由油溶性变为水溶性,可以制成正性胶。三是光交联型,采用聚乙烯醇月桂酸酯等作为光敏材料,在光的作用下,其分子中的双键被打开,并使链与链之间发生交联,形成一种不溶性的网状结构,而起到抗蚀作用,这是一种典型的负性光刻胶。 光刻胶的技术指标或者说物理特性有如下几个方面:一、分辨率(resolution),区别硅片表面相邻图形特征的能力,一般用关键尺寸(CD,Critical Dimension)来衡量分辨率。形成的关键尺寸越小,光刻胶的分辨率越好。二、对比度(Contrast),指光刻胶从曝光区到非曝光区过渡的陡度。对比度越好,形成图形的侧壁越陡峭,分辨率越好。三、敏感度(Sensitivity),光刻胶上产生一个良

微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓 度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散, 因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 A. 诱生电荷 B. 鸟嘴效应 C. 陷阱电荷 D. 可移动电荷 4、浸入式光刻技术可以使193 nm 光刻工艺的最小线宽减小到45 nm 以下。它通过采用折射率高的 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

当今世界10项最有影响新技术

当今世界10项最有影响新技术 当今世界,科技发展日新月异,科学新概念层出不穷,新技术工艺相继闪亮登场。纳米材料、信息技术、生物制药、节能环保科技领域的创新和研发引人注目,鼓舞人心。一项新的科技发明会在不知不觉中改变我们的生活,影响社会发展的历程。 近日,俄罗斯《大众机械》杂志撰文指出,以下科技新技术会使人类生活因此而更加完美无缺。 1,相变随机闪存(PRAM) 手机、手提电脑等移动设备对存储器的要求,与服务器和台式电脑等截然不同。长期以来,人们对这些移动设备存储器的主要性能要求是低成本、低功耗以及非易失性。 但是,由于目前开发的各类存储器都有其自身缺陷,因而没有一款能够完全满足上述所有要求。例如,动态随机存储器成本低且能够随机访问,但遗憾的是存在易失性,即断电后会发生数据丢失;充当缓存的静态随机存储器读写速度快且能够随机访问,但缺点是成本较高;相比之下,闪存成本低且具有非易失性特点,然而苦于速度慢又无法随机访问。除此之外,目前的闪存制造技术也无法生产出存储容量超过16G的产品。 最新兴起的相变随机闪存技术,类似于CD和CD驱动器中所采用的技术。在PRAM中,电流将硫化薄膜加热至晶态或非晶态,因两种状态下的电阻率有很大差别,从而可判读为0或1,只要在上面施加少量的复位电流就能触发这两个状态的切换。 在现有的电子产品中,广泛使用的非易失性闪存有NOR和NAND两种:NOR 闪存适合直接运行软件,但它的速度较慢,而且造价昂贵;NAND闪存容易大规模制造,更适合存储大容量文件,如MP3音乐文件等。PRAM闪存则采用垂直二极管和三维晶体管结构,不需要在储存新数据前擦除旧数据,因而是非易失性的,也就是说,在电子设备关闭时仍能保存数据。 目前,三星公司在PRAM领域的研发处于世界领先水平,2006年已经展示了它的初级产品,这些新产品比现有普通闪存快30倍以上。三星公司表示,PRAM产品有望在2008年上市,它极有可能将成为NOR闪存的最终替代品。 2,汽车智能一体化 近10年来,信息技术的发展为交通运输行业带来了各种机遇,智能交通系统(ITS),便是其中最典型、最活跃、最具潜力而且全面应用了信息技术的一个交通运输发展综合领域。ITS就是信息技术———主要是计算机、通讯和

集成电路工艺mooc测试题

第一周作业返回 1单选(1分) 在硅片晶向、掺杂类型介绍中,由硅片断裂边形成的角度是60o可知硅片是什么晶向? A.(100)B.(111)C.(110)D.(211) 正确答案:B 解析:B、硅的解理面是(111),在(111)面上两[111]晶向相交呈60 o 2多选(1分) 关于拉单晶时进行的缩颈步骤,下面的说法那种正确 A.可以多次缩颈 B.为了能拉出与籽晶相同的硅锭 C.为了终止籽晶中的线缺陷向晶锭的延伸 D.为了终止与籽晶结合处的缺陷向晶锭的延伸 正确答案:A、C、D 解析:A、目的是彻底终止线缺陷等向晶锭的延伸 3判断(1分) 在空间微重力室用CZ法也能拉制出大尺寸优质晶锭 正确答案:对 解析:因硅熔体温度梯度带来的密度(重力)差造成的干锅内熔体强对流减弱的缘故 4单选(1分) 磷在硅熔体与晶体中的分凝系数约为0.35,这使得液相掺杂拉制的掺磷硅锭的电阻率: A.轴向均匀 B.轴向递减 C.轴向递増 D.径向递减 正确答案:B 解析:B、因为掺入硅锭的杂质是轴向递增的。 5填空(1分) 拉单晶的干锅污染主要是由于坩埚材料分解出的造成。 正确答案:O 或氧 第二周作业返回 1填空(1分) 外延工艺就是在晶体衬底上,用物理的或化学的方法生长薄膜。 正确答案:晶体或单晶 2判断(1分) 如果外延速率偏低,只要增大外延气体中硅源(如SiCl4)浓度,硅的气相外延速率就会增加。 正确答案:错 解析:只在一定范围成立,如SiCl4为硅源超过临界值会生成多晶、甚至腐蚀衬底。 3填空(1分) VPE制备n-/n+ -Si用硅烷为源,硅烷是在完成的分解。 可从下面选择:气相硅片表面正确答案:硅片表面

微电子工艺复习整理

第一章微电子工艺基础绪论 1、描述分立器件和集成电路的区别 ①分立器件:是由二极管、三极管等独立的元器件组成的,一般只能完成单一功能, 体积庞大。 ②集成电路:把由若干个晶体管、电阻、电容等器件组成的、实现某种特定功能的电子线路, 集中制造在一块小小的半导体芯片上,大体上可以分为三类,半导体集成电路,混合集成电路及薄膜集成电路。半导体集成电路又可以分为双极型集成电路和金属-氧化物-半导体集成电路。优点: A:降低互连的寄生效应; B:可充分利用半导体晶片的空间和面积; C:大幅度降低制造成本。 2、列举出几种pn结的形成方法并说出平面工艺的特点 ①合金结方法A 接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融 B 冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个 再分布结晶区,这样就得到了一个pn结。 缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 缺点:不适宜大批量生产。 ③扩散结优点:扩散结结深能够精确控制。 ④二氧化硅薄膜的优点 A:作为掩蔽膜,有效的掩蔽大多数杂质的扩散B:提高半导体几何图形的控制精度 C:钝化半导体器件表面,提高了器件的稳定性。 ⑤平面工艺:利用二氧化硅掩蔽膜,通过光刻出窗口控制几何图形进行选择性扩散形成pn结 3、制造半导体器件的四个阶段 ①.材料准备②晶体生长与晶圆准备③.芯片制造④.封装 4、解释集成度的概念并根据集成度将集成电路分类

5、微电子工艺的特点 ①高技术含量:设备先进、技术先进 ②高精度:光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而 精度更在上述尺度之上。 ③超纯:指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 ④超净:环境、操作者、工艺三个方面的超净,VLSI在100级超净室、10级超净台中制作 ⑤大批量、低成本:图形转移技术使之得以实现 ⑥高温:多数关键工艺是在高温下实现,如:热氧化、扩散、退火 6、说明工艺及产品趋势 ①特征图形尺寸的减小(通常用微米表示)特征尺寸和集成度是集成电路发展的两个共同标志。 ②芯片和晶圆尺寸的增大 ③缺陷密度的减小100um―1um不是问题1um―1um 致命缺陷 ④内部连线水平的提高高元件密度减小了连线的空间。 解决方案:在元件形成的表面上使用多层绝缘层和导电层相互叠加的多层连线。 ⑤芯片成本的降低⑥纳电子技术 第二章半导体材料和晶圆制备 (1)列出三类晶体缺陷并说明其形成的原因 ①点缺陷:晶体杂志原子挤压晶体结构引起的压力所致 ②位错:晶体生长条件、晶体内的晶格应力、制造过程中的物理损坏 ③原生缺陷:滑移(晶体平面产生的晶体滑移)和挛晶(同一界面生长出两种不同方向的晶体), 二者是晶体报废的主要原因。 (2)说出掺杂半导体的两种特性 ①通过掺杂浓度精确控制电阻率 ②通过掺杂元素的选择控制导电类型(电子N型或空穴P型导电) 掺杂半导体和金属导电的区别:金属:①电阻率固定,改变电阻只有改变其形状。 ②只能通过电子的移动来导电,金属永远是N型的。 (3)列出三种主要的半导体材料、比较其优缺点 ①锗缺点A:熔点低(937℃)B:缺少自然形成的氧化物 ②硅优点A:熔点高(1415℃)B:二氧化硅膜很好的解决了漏电问题 ③硅作为电子材料的优势: A:原料充分,石英沙是硅在自然界存在的主要形式;B:机械强度高; C:比重小,密度只有2.33g/cm3;D:pn结表面易于生长SiO2,对结起到保护作用; E:制备的单晶缺陷小;F:能够制造大尺寸基片,硅片直径已达16英寸;G:导热性好 ④砷化镓A:载流子迁移率高,适合于做超过吉赫兹的高速IC。例如:飞机控制和超高速计算 机。B:对辐射所造成的漏电具有抵抗性,即GaAs是天然辐射硬化的。 C:GaAs是半绝缘的。使临近器件的漏电最小化,允许更高的封装密度。 ⑤砷化镓不会取代硅的原因:A: 大多数产品不必太快B:没有保护膜C:砷对人体有害

微电子工艺技术 复习要点4-6

第四章晶圆制造 1. CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 1、溶硅 2、引晶 3、收颈 4、放肩 5、等径生长 6、收晶。 CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室,加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒CZ法优点:单晶直径大,成本低,可以较好控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶 FZ法优点:1、可重复生长,单晶纯度比CZ法高。2、无需坩埚石墨托,污染少。3、高纯度,高电阻率,低碳,低氧。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 111.100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 1、对晶圆A清洗并生成一定厚度的SO2层。 2、注入一定的H形成富含H的薄膜。 3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H 脱离A和B键合 4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 6名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺

浅谈我对微电子的认识

[键入公司名称] 浅谈我对微电子的认识 [键入文档副标题] X [选取日期] [在此处键入文档摘要。摘要通常为文档内容的简短概括。在此处键入文档摘要。摘要通常为文档内容的简短概括。]

我是电子信息科学与技术专业的学生,考虑到微电子对我们专业知识学习的重要性,我怀着极大的热情报了《微电子入门》这门选修课。希望通过这门课的学习,使我对微电子有更深入的认识,以便为以后的专业课学习打下基础。 微电子是一门新兴产业,它的发展关系着国计民生。它不仅应用于科学领域,也被广泛应用于国防、航天、民生等领域。它的广泛应用,使人们的生活更见方便。现代人的生活越来越离不开电子。因此,对电子的了解显得十分重要。微电子作为电子科学的一个分支,也发挥着日益重要的作用。通过几周的学习,我对微电子有了初步的认识。 首先,我了解了微电子的发展史,1947年晶体管的发明,后来又结合印刷电路组装使电子电路在小型化的方面前进了一大步。到1958年前后已研究成功以这种组件为基础的混合组件。集成电路的主要工艺技术,是在50年代后半期硅平面晶体管技术和更早的金属真空涂膜学技术基础上发展起来的。1964年出现了磁双极型集成电路产品。 1962年生产出晶体管——晶体管理逻辑电路和发射极藉合逻辑电路。MOS集成电路出现。由于MOS电路在高度集成方面的优点和集成电路对电子技术的影响,集成电路发展越来越快。 70年代,微电子技术进入了以大规模集成电路为中心的新阶段。随着集成密度日益提高,集成电路正向集成系统发展,电路的设计也日益复杂、费时和昂贵。实际上如果没有计算机的辅助,较复杂的大规模集成电路的设计是不可能的。70年代以来,集成电路利用计算机的设计有很大的进展。制版的计算机辅助设计、器件模拟、电路模拟、逻辑模拟、布局布线的计算辅助设计等程序,都先后研究成功,并发展成为包括校核、优化等算法在内的混合计算机辅助设计,乃至整套设备的计算机辅助设计系统。 微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺

微电子工艺扫盲课程.pdf

)))))))) Warning and explanation:文中所引用图片均来自于互联网和中科院半导体所官方网站。本人只是用于讲解知识所用,并未用于商业获利行为。产生任何法律纠纷均与我无关。请勿盗链文中的 图片,后果自负! 介货就是硅 微电子制造工艺在微电子整体产业中处于中游阶段(上游是电路设计,下游是封装测试)。一个芯片的制造能否达到设计要求,与制造工艺有很大的关系,因此有必要对工艺线的流程为大家说 明讲清楚。我们手中使用的mobilephone,camera,ipad内部电路板上焊接的形状各异外形诡 异的小芯片都是如何造出来?想必大家都是有兴趣知道的。即使没有电子工程的基础,通过我的讲解也是可以,你对这个最精密自动化程度最高的行业有一个清晰的轮廓。 IC(integrate circuit)的制造分为前工序和后工序。 前工序:IC制造工程中,晶圆光刻的工艺(即所谓流片),被称为前工序,这是IC制造的最要害技术。 后工序:晶圆流片后,其切割、封装等工序被称为后工序。 我们所要了解的就是前工序的内容,打蛇打七寸,直入要害。 首先,光刻过程的操作流程为: 衬底氧化—涂胶—光刻机曝光—显影烘干—刻蚀—清洗干燥—离子注入(等离子刻蚀、金属淀积)—去胶。 其中最费钱的一步大家知道是什么吗? 光刻机曝光。流片光刻的费用约占到总体花费的40%左右。很多研究机构或者高校做芯片设计 只是通过软件模拟一下,由此就以这些数据写论文,很少有经费可以去流片测试。况且一个可以投产的芯片并不是一次流片就能成功的,通常情况下需要四次甚至更多次数。以西电微电子学院的军用RFID为例,流片次数已过4次,电路尺寸逐步达到设计标准。军用研发经费充足,不计 成本,不过半导体产业高投入的现状可见一斑。 现在通过图片讲解对各部工序逐一讲解: ))))))))). ))))))))

微电子工艺复习重点

20XX级《微电子工艺》复习提纲 一、衬底制备 1.硅单晶的制备方法。 直拉法悬浮区熔法 1.硅外延多晶与单晶生长条件。 任意特定淀积温度下,存在最大淀积率,超过最大淀积率生成多晶薄膜,低于最大淀积率,生成单晶外延层。 三、薄膜制备1-氧化 1.干法氧化,湿法氧化和水汽氧化三种方式的优缺点。 干法氧化:干燥纯净氧气 湿法氧化:既有纯净水蒸汽有又纯净氧气 水汽氧化:纯净水蒸汽 速度均匀重复性结构掩蔽性 干氧慢好致密好 湿氧快较好中基本满足 水汽最快差疏松差 2.理解氧化厚度的表达式和曲线图。 二氧化硅生长的快慢由氧化剂在二氧化硅中的扩散速度以及与硅反应速度中较慢的一个因素决定;当氧化时间很长时,抛物线规律,当氧化时间很短时,线性规律。 3.温度、气体分压、晶向、掺杂情况对氧化速率的影响。 温度:指数关系,温度越高,氧化速率越快。 气体分压:线性关系,氧化剂分压升高,氧化速率加快 晶向:(111)面键密度大于(100)面,氧化速率高;高温忽略。 掺杂:掺杂浓度高的氧化速率快; 4.理解采用干法热氧化和掺氯措施提高栅氧层质量这个工艺。 掺氯改善二氧化硅特性,提高氧化质量。干法氧化中掺氯使氧化速率可提高1%-5%。 四、薄膜制备2-化学气相淀积CVD 1.三种常用的化学气相淀积方式,在台阶覆盖能力,呈膜质量等各方面的优缺点。 常压化学气相淀积APCVD:操作简单淀积速率快,台阶覆盖性和均匀性差 低压化学气相淀积LPCVD:台阶覆盖性和均匀性好,对反应式结构要求不高,速率相对 低,工作温度相对高,有气缺现象 PECVD:温度低,速率高,覆盖性和均匀性好,主要方式。 2.本征SiO2,磷硅玻璃PSG,硼磷硅玻璃BPSG的特性和在集成电路中的应用。 USG:台阶覆盖好,黏附性好,击穿电压高,均匀致密;介质层,掩模(扩散和注入),钝化层,绝缘层。 PSG:台阶覆盖更好,吸湿性强,吸收碱性离子 BPSG:吸湿性强,吸收碱性离子,金属互联层还有用(具体再查书)。 3.热生长SiO2和CVD淀积SiO2膜的区别。 热生长:氧来自气态,硅来自衬底,质量好

光刻工艺流程

光刻工艺流程 Lithography Process 摘要:光刻技术(lithography technology)是指集成电路制造中利用光学—化学反应原理和化学,物理刻蚀法,将电路图形传递到单晶表面或介质层上,形成有效图形窗口或功能图形的工艺技术。光刻是集成电路工艺中的关键性技术,其构想源自于印刷技术中的照相制版技术。光刻技术的发展使得图形线宽不断缩小,集成度不断提高,从而使得器件不断缩小,性能也不断提利用高。还有大面积的均匀曝光,提高了产量,质量,降低了成本。我们所知的光刻工艺的流程为:涂胶→前烘→曝光→显影→坚膜→刻蚀→去胶。 Abstract:Lithography technology is the manufacture of integrated circuits using optical - chemical reaction principle and chemical, physical etching method, the circuit pattern is transferred to the single crystal surface or the dielectric layer to form an effective graphics window or function graphics technology.Lithography is the key technology in integrated circuit technology, the idea originated in printing technology in the photo lithographic process. Development of lithography technology makes graphics width shrinking, integration continues to improve, so that the devices continue to shrink, the performance is also rising.There are even a large area of exposure, improve the yield, quality and reduce costs. We know lithography process flow is: Photoresist Coating → Soft bake → exposure → development →hard bake → etching → Strip Photoresist. 关键词:光刻,涂胶,前烘,曝光,显影,坚膜,刻蚀,去胶。 Key Words:lithography,Photoresist Coating,Soft bake,exposure,development,hard bake ,etching, Strip Photoresist. 引言: 光刻有三要素:光刻机;光刻版(掩模版);光刻胶。光刻机是IC晶圆中最昂贵的设备,也决定了集成电路最小的特征尺寸。光刻机的种类有接触式光刻机、接近式光刻机、投影式光刻机和步进式光刻机。接触式光刻机设备简单,70年代中期前使用,分辨率只有微

微电子工艺技术 复习要点答案(完整版)

第四章晶圆制造 1.CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 答:1、溶硅2、引晶3、收颈4、放肩5、等径生长6、收晶。CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长)。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。 CZ法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。 FZ法优点:①可重复生长,提纯单晶,单晶纯度较CZ法高。②无需坩埚、石墨托,污染少③高纯度、高电阻率、低氧、低碳④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 答:111和100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 答:在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 答:1、对晶圆A清洗并生成一定厚度的SO2层。2、注入一定的H形成富含H的薄膜。3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H脱离A和B键合。4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 7、名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 答:CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺 1. 列举IC芯片制造过程中热氧化SiO2的用途?

半导体集成电路工艺复习

第一次作业: 1,集成时代以什么来划分?列出每个时代的时间段及大致的集成规模。答: 类别时间 数字集成电路 模拟集成电路MOS IC 双极IC SSI 1960s前期 MSI 1960s~1970s 100~500 30~100 LSI 1970s 500~2000 100~300 VLSI 1970s后期~1980s后期>2000 >300 ULSI 1980s后期~1990s后期 GSI 1990s后期~20世纪初 SoC 20世纪以后 2,什么是芯片的集成度?它最主要受什么因素的影响? 答:集成度:单个芯片上集成的元件(管子)数。受芯片的关键尺寸的影响。 3,说明硅片与芯片的主要区别。 答:硅片是指由单晶生长,滚圆,切片及抛光等工序制成的硅圆薄片,是制造芯片的原料,用来提供加工芯片的基础材料;芯片是指在衬底上经多个工艺步骤加工出来的,最终具有永久可是图形并具有一定功能的单个集成电路硅片。 4,列出集成电路制造的五个主要步骤,并简要描述每一个步骤的主要功能。 答:晶圆(硅片)制备(Wafer Preparation); 硅(芯)片制造(Wafer Fabrication):在硅片上生产出永久刻蚀在硅片上的一整套集成电路。硅片测试/拣选(Die T est/Sort):单个芯片的探测和电学测试,选择出可用的芯片。 装配与封装(Assembly and Packaging):提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 成品测试与分析(或终测)(Final T est):对封装后的芯片进行测试,以确定是否满足电学和特性参数要求。 5,说明封装的主要作用。对封装的主要要求是什么。 答:封装的作用:提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 主要要求:电气要求:引线应当具有低的电阻、电容和电感。机械特性和热特性:散热率应当越高越好;机械特性是指机械可靠性和长期可靠性。低成本:成本是必须要考虑的比较重要的因素之一。 6,什么是芯片的关键尺寸?这种尺寸为何重要?自半导体制造业开始以来,芯片的关键尺寸是如何变化的?他对芯片上其他特征尺寸的影响是什么? 答:芯片上器件的物理尺寸被称为特征尺寸;芯片上的最小的特征尺寸被称为关键尺寸,且被作为定义制造工艺水平的标准。 为何重要:他代表了工艺上能加工的最小尺寸,决定了芯片上的其他特征尺寸,从而决定了芯片的面积和芯片的集成度,并对芯片的性能有决定性的影响,故被定义为制造工艺水平的标准。

光刻工艺简要流程介绍

光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%。 光刻机是生产线上最贵的机台,5~15百万美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于10nm)。其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker),用于涂胶显影;扫描曝光机(Scanning)光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性;准确地对准;大尺寸硅片的制造;低的缺陷密度。 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 1、硅片清洗烘干(Cleaning and Pre-Baking) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150~2500C,1~2分钟,氮 气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);b、除去水蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是 HMDS-〉六甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMDS蒸汽淀积,200~2500C,30秒钟;优点:涂底均匀、避免颗粒污染;b、旋转涂底。缺点:颗粒污染、涂底不均匀、HMDS 用量大。

目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coating) 方法:a、静态涂胶(Static)。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65~85%,旋涂后约占10~20%); b、动态(Dynamic)。低速旋转(500rpm_rotation per minute)、滴胶、加速 旋转(3000rpm)、甩胶、挥发溶剂。 决定光刻胶涂胶厚度的关键参数:光刻胶的黏度(Viscosity),黏度越低,光刻胶的厚度越薄;旋转速度,速度越快,厚度越薄; 影响光刻胶厚度均运性的参数:旋转加速度,加速越快越均匀;与旋转加速的时 间点有关。 一般旋涂光刻胶的厚度与曝光的光源波长有关(因为不同级别的曝光波长对应不 同的光刻胶种类和分辨率): I-line最厚,约0.7~3μm;KrF的厚度约0.4~0.9μm;ArF的厚度约0.2~ 0.5μm。 4、软烘(Soft Baking) 方法:真空热板,85~120℃,30~60秒; 目的:除去溶剂(4~7%);增强黏附性;释放光刻胶膜内的应力;防止光刻胶 玷污设备; 边缘光刻胶的去除(EBR,Edge Bead Removal)。光刻胶涂覆后,在硅片边缘的正反两面都会有光刻胶的堆积。边缘的光刻胶一般涂布不均匀,不能得到很好的图形,而且容易发生剥离(Peeling)而影响其它部分的图形。所以需要去除。

微电子论文

微电子学与医学的结合造福社会 刘畅自动化专业093班学号:090919 摘要: 微电子技术是现代电子信息技术的直接基础。现代微电子技术就是建立在以集成电路为核心的各种半导体器件基础上的高新电子技术。微电子技术的发展大大方便了人们的生活。它主要应用于生活中的各类电子产品,微电子技术的发展对电子产品的消费市场也产生了深远的影响。微电子技术过去在医学中的主要是应用于各类医疗器械的集成电路,在未来主要是生物芯片。生物芯片技术在医学、生命科学、药业、农业、环境科学等凡与生命活动有关的领域中均具有重大的应用前景。 一、引言:我所了解的微电子技术 1.定义微电子技术,顾名思义就是微型的电子电路。它是随着集成电路,尤其是超大规模集成电路而发展起来的一门新的技术。微电子技术是在电子电路和系统的超小型化和微型化过程中逐渐形成和发展起来的,其核心是集成电路,即通过一定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互联,采用微细加工工艺,集成在一块半导体单晶片上,并封装在一个外壳内,执行特定电路或系统功能。与传统电子技术相比,其主要特征是器件和电路的微小型化。它把电路系统设计和制造工艺精密结合起来,适合进行大规模的批量生产,因而成本低,可靠性高。它的特点是体积小、重量轻、 可靠性高、工作速度快,微电子技术对信息时代具有巨大的影响。它包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,是微电子学中的各项工艺技术的总和。 2.发展历史:微电子技术是十九世纪末,二十世纪初开始发展起来的新兴技术,它在二十世纪迅速发展,成为近代科技的一门重要学科。它的发展史其实就是集成电路的发展史。1904 年,英国科学家弗莱明发明了第一个电子管——二极管,不就美国科学家发明了三极管。电子管的发明,使得电子技术高速发展起来。它被广泛应用于各个领域。1947 年贝尔实验室制成了世界上第一个晶体管。体积微小的晶体管使集成电路的出现有了可能。之后,美国得克萨斯仪器公司的基比尔按其思路,于1958 年制成了第一个集成电路的模型,1959 年德州仪器公司宣布发明集成电路。至此集成电路便诞生了。集成电路发明后,其发展非常迅速,其制作工艺不断进步,规模不断扩大。至今集成电路的集成度已提高了500 万倍,特征尺寸缩小200 倍,单个器件成本下降100 万倍。 3.微电子技术的应用:微电子技术广泛应用于民用、军方、航空等多个方面。现在人类生产的电子产品几乎都应用到了微电子技术。可以这么说微电子技术改变了我们的生活方式。微电子技术对电子产品的消费市场也产生了深远的影响。价廉、可靠、 体积小、重量轻的微电子产品,使电子产品面貌一新;微电子技术产品和微处理器不再是专门用于科学仪器世界的贵族,而落户于各式各样的普及型产品之中,进人普通百姓家。例如电子玩具、游戏机、学习机及其他家用电器产品等。就连汽车这种传统的机械产品也渗透进了微电子技术,采用微电子技术的电子引擎监控系统。汽车安全防盗系统、出租车的计价器等已得到广泛应用,现代汽车上有时甚至要有十几个到几十个微处理器。现代的广播电视系统更是使微电子技术大有用武之地的领域,集成电路代替了彩色电视机中大部分分立元件组成的功能电路,使电视机电路简捷清楚,维修方便,价格低廉。由于采用微电子技术的数字调谐技术,使电视机可以对多达100 个频道任选,而且大大提高了声音、图像的保真度。总之,微电子技术已经渗透到诸如现代通信、计算机技术、医疗卫生、

微电子加工工艺总结资料

1、分立器件和集成电路的区别 分立元件:每个芯片只含有一个器件;集成电路:每个芯片含有多个元件。 2、平面工艺的特点 平面工艺是由Hoerni于1960年提出的。在这项技术中,整个半导体表面先形成一层氧化层,再借助平板印刷技术,通过刻蚀去除部分氧化层,从而形成一个窗口。 P-N结形成的方法: ①合金结方法 A、接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融。 B、冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个再分布结晶区,这样就得到了一个 pn结。 合金结的缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 生长结的缺点:不适宜大批量生产。 扩散结的形成方式 与合金结相似点: 表面表露在高浓度相反类型的杂质源之中 与合金结区别点: 不发生相变,杂质靠固态扩散进入半导体晶体内部 扩散结的优点 扩散结结深能够精确控制。 平面工艺制作二极管的基本流程: 衬底制备——氧化——一次光刻(刻扩散窗口)——硼预沉积——硼再沉积——二次光刻(刻引线孔)——蒸铝——三次光刻(反刻铝电极)——P-N结特性测试 3、微电子工艺的特点 高技术含量设备先进、技术先进。 高精度光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而精度更在上述尺度之上。 超纯指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 超净环境、操作者、工艺三个方面的超净,如 VLSI在100级超净室10级超净台中制作。 大批量、低成本图形转移技术使之得以实现。 高温多数关键工艺是在高温下实现,如:热氧化、扩散、退火。

微电子工艺作业参考答案(第1(第10次))-

微电子工艺作业参考答案(第1(第10次))- 微电子工艺操作参考答案 第一次操作(全体参与) 1,微电子在人类社会中的作用简述 a:自20世纪40年代晶体管诞生以来,微电子技术发展极为迅速,现已进入大规模集成电路和系统集成时代,成为整个信息时代的标志和基础。毫不夸张地说,如果没有微电子技术,今天就不会有信息社会。 纵观人类社会发展的文明史,生产方式的所有重大变化都是由新的科学发明引起的。科学技术作为第一生产力,推动着社会的发展。1774年,英国格拉斯哥大学的修理工瓦特发明了蒸汽机,这引发了第一次工业革命,产生了现代纺织和机械制造业,把人类带入了一个机器被用来扩展和发展人类体力劳动的时代。1866年,德国科学家西门子发明了发电机,引发了以电气化工业为代表的第二次技术革命。 目前,我们正在经历一场新的技术革命。虽然第三次技术革命包括新材料、新能源、生物工程、海洋工程、航天工程和电子信息技术等。,以微电子学为核心的电子信息技术仍然是影响最大、渗透力最强和最具代表性的新技术革命。 信息是客观事物状态和运动特征的共同表现,是仅次于物质和能量的第三大资源,是人类物质文明和精神文明赖以发展的三大支柱之一。当前,世界正处于一场跨越时空的新信息技术革命之中。它将对

社会经济、政治和文化产生比人类历史上任何其他技术革命更大的影响。它将改变我们人类生产、生活、工作和治理国家的方式。 实现社会信息化的关键是各种计算机和通信设备,但其基础是半导体和微电子技术。1946年,世界上第一台电子计算机ENIAC诞生于宾夕法尼亚大学摩尔学院,运行速度仅为每秒5000次,存储容量仅为1000位,平均稳定运行时间仅为7分钟。当时,专家认为世界上只有四个ENIAC单元就足够了。然而,仅仅半个多世纪后,现在世界上有数亿台计算机。微电子学是这一巨大变化的技术基础。现在,电子信息产业已经成为世界上最大的产业毫无疑问,21世纪将是信息化的世纪。 微电子产业在国民经济中的战略地位首先体现在现代食物链的关系上。现代经济发展数据显示,每增加100元的国内生产总值就需要10元左右的电子产业增加值的支撑,其中包括2-3元的集成电路产品。如果单位质量钢筋对国内生产总值的贡献是1,汽车数量是5,彩电数量是30,计算机数量是1000,而集成电路的贡献在XXXX高达1英寸,这大大降低了芯片的成本。(3)硅氧化物二氧化硅性能稳定,在集成电路制造过程中有多种用途,如掩膜、钝化膜、介质隔离、绝缘介质(多层布线)、绝缘栅、金属氧化物半导体电容器的介质材料等。(4)多晶硅(Poly-Si),另一种形式的硅半导体材料 ,在集成电路技术中有许多应用,例如栅极(可实现源漏自对准工

相关文档
最新文档