数字电路课后题参考答案

数字电路课后题参考答案
数字电路课后题参考答案

习题参考答案

注:参考答案,并不是唯一答案或不一定是最好答案。仅供大家参考。

第一章习题

2. C B A D B A C B A F ??+??+??=

3. 设:逻辑变量A 、B 、C 、D 分别表示占有40%、30%、20%、10%股份的四个股东,各变量取值为1表示该股东投赞成票;F 表示表决结果,F =1表示表决通过。 F =AB +AC +BCD

4. 设:A 、B 开关接至上方为1,接至下方为0;F 灯亮为1,灯灭为0。

F =A ⊙B

5. 设:10kW 、15kW 、25kW 三台用电设备分别为A 、B 、C ,设15kW 和25kW 两台发电机组分别为Y 和Z ,且均用“0”表示不工作,用“1”表示工作。

C AB Z B

A B A Y ?=?=

6.输入为余3码,用A 、B 、C 、D 表示,输出为8421BCD 码,用Y 0、Y 1、Y 2、Y 3表示。

D C A B A Y C

B D

C B

D B Y D

C Y D

Y ??+?=?+??+?=⊕==3210

7. 设:红、绿、黄灯分别用A 、B 、C 表示,灯亮时为1,灯灭时为0;输出用F 表示,灯正常工作时为0,灯出现故障时为1。

C A B A C B A F ?+?+??=

8. D C B D A H D

C B A

D C B A D C B A D C B A G D

C B A

D C A B A F D

C B A E ??+?=???+???+???+???=???+??+?=???=

第二章习题

1. 设:红、绿、黄灯分别用A 、B 、C 表示,灯亮时其值为1,灯灭时其值为0;输出报警信号用Y 表示,灯正常工作时其值为0,灯出现故障时其值为1。

AC AB C B A Y ??=

2. 设:烟、温度和有害气体三种不同类型的探测器的输出信号用A 、B 、C 表示,作为报警信号电路的输入,有火灾探测信号时用1表示,没有时用0表示。报警信号电路的书躇用Y 表示,有报警信号时用1表示,没有时用0表示。

BC AC AB Y ??=

3. 设:输入信号A 、B 、C 为三台设备有无故障的信号,1表示有故障,0表示无故障。输出信号X 、Y 分别表示黄灯、红灯是否亮的信号,1表示灯亮。0表示灯不亮。

C

A C

B B A Y

C B A C B A C B A C B A C B A X ?+?+?=⊕⊕=??+??+??+??= 4.

B

A B A Y C A AC Y B

A A

B Y W Y R +==+==+== 其中:红灯为Y R ,黄灯为Y Y ,绿灯为Y W 。

5. 设:旅客列车分为特快、直快、慢车用A 、B 、C 表示,输出用F A 、F B 、F C 表示。

C B A F B

A F A

F C B A ??=== 6. BC AC AB X ??=

第三章习题

1. 设:供血方的血型用变量WX 的取值表示,受血方的血型用变量YZ 的取值表示,血型编码为:O 型(00);、A 型(01)、B 型(10)、AB 型(11),即当WX ==00时,表示供血方的血型为O 型;YZ =00则表示受血方的血型为O 型。输出信号是血型配对结果,用F 表示,F =1表示血型不符,指示灯亮;F =0表示血型配对成功,指示灯不亮。

Z X Y W F +=

2设:主裁判A ,两名副裁判为B 、C 。运动场响电铃与否用Y 表示。运动场响电铃是Y =1,表示动作成功。电铃不响Y =0,表示动作不成功。

Y =AB +AC

3.设:董事会的四人用A 、B 、C 、D 表示,其中A 为董事长。计划通过与否用Z 表示,计划通过Z =1,否则为0。

Z =ACD +ABD +ABC

4.设:输入变量为D 2、D 1、D 0;输出变量为F 1、F 2、F 3。并且当F 1=1时表示D 2D 1D 0>4;当F 2=1时,表示D 2、D 1、D 0中多数个1;当F 3=1时,表示D 2、D 1、D 0中有奇数个1。

7

42101201201201237

65301201201201227

650120120121Y Y Y Y D D D D D D D D D D D D F Y Y Y Y D D D D D D D D D D D D F Y Y Y D D D D D D D D D F ???=+++=???=+++=??=++=

5. 设:A =A 2、B =A 1、C =A 0。 则:7420Y Y Y Y F ???=

6. 设:输入为A 、B 、C ,开关合上为1,路灯用G 表示,灯亮为1。否则为0。

C B A G ⊕⊕=

7.设:输入变量A 、B 、C 分别表示三个班学生是否上自习,1表示上自习,0表示不上自习;输出变量Y 、G 分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。

BC AC AB Y ++=

C B A G ⊕⊕=

8. 设:A 表示性别; B 、C 、D 分别表示黄票、红票和绿票;输出变量为F ,

D AB C A F ++=

9.设:自动关门信号为A ,A =1表示自动关门;手动关门信号为B ,B =1表示手动关门;下一段路轨空出信号为C ,C =1表示空出;列车可以开出信号为Y ,Y =1表示可以开出。

Y = C (A +B )

10. 设:红、黄、绿三种颜色的交通信号灯分别用A 、B 、C 表示,且1表示灯亮,0

表示灯灭;信号灯工作正常与否用Y 表示,且1表示工作正常,0表示工作不正常。 逻辑表达式为:C B C A B A C B A Y ?+?+?+??=

第四章习题

1.

2.

3.在机械开关后面接上一个基本RS 触发器,连接方法如图所示,即可消除开关振颤产生的影响。

4.

5. S1为开,S2为关。

6.从CP与Q波形关系可看出,Q对CP二分频。从Y的波形看,Q

CP

Y⊕

=。连接电路如图所示。

第五章习题

5. 时钟方程:CP2=CP1=CP0=CP

输出方程:

Y=Q1Q2n

驱动方程:J2=Q1n K

2

=Q1n J1=Q0n K1=Q0n

K0=Q2n J0=Q2n

状态方程:Q2n+1=Q1n

Q1n+1=Q0n

Q0n+1=Q2n

状态表

Q2n Q1n Q0n Q2n+1Q1n+1Q0n+1Y

0 0 0 0 0 1 0

0 0 1 0 1 1 0

0 1 0 1 0 1 0

0 1 1 1 1 1 0

1 0 0 0 0 0 1

1 0 1 0 1 0 1

1 1 0 1 0 0 0

1 1 1 1 1 0 0

画状态转换图

状态图(时序图)

电路功能

有效循环的6个状态分别是0~5这6个十进制数字的格雷码,并且在时钟脉冲CP的作用下,这6个状态是按递增规律变化的,即:

000→001→011→111→110→100→000→…

所以这是一个用格雷码表示的六进制同步加法计数器。当对第6个脉冲计数时,计数器又重新从000开始计数,并产生输出Y=1。

6. 该控制电路实际上是一个周期为13的序列产生器,要产生的序列为1110010010001。输入时钟频率:f=5Hz;亮灭频率:f=0.5Hz;分频次数:N=10。

7.输入时钟频率f=4Hz;彩灯亮灭时钟频率f=1Hz;首先进行4分频,用1片74LS194

接为模4扭环形计数器来实现。另外,由于4种颜色的彩灯每次只依次点亮1种颜色的彩灯,因此可用1片74LS194按照右移方式来控制彩灯的灭亮。

8. 解:该控制电路实际上是一个模100的可逆计数器。设上、下楼传感器信号分别

为X和Y,控制电路输出为Z,且Z=0表示门禁自动阻止,且Z=1表示门禁自动打开。

9.

10. 64进制计数器。

11.4位环形计数器。

12.

3. 按钮S按下时,2脚输入一个负脉冲,555输出端输出高电平,继电器J得电,J1

闭合,灯亮。同时555内部放电管截止,V cc通过R2向C1充电,充至2V cc/3,电路翻转,继电器J失电,J1复位为常开状态,灯暗。

延时时间:t w=R2C1ln3≈110s

4.

5.

6.

2. 01011001,10101110

3. (1) D7~D0=00000001时,U O= -23mV

(2) D7~D0=10000000时,U O= -2.944V

(3) D7~D0=01111111时,U O= -2.921V

4. (a) 对于8位转换器,有:[1/(28-1)]ⅹ100%= 0.392%

(b) 对于12位转换器,有:[1/(212-1)]ⅹ100%= 0.0244%

第八章习题

2.

3. a(A,B,C,D)=∑m(0,2,3,5,7,8,9,13)

b(A,B,C,D)=∑m(0,1,2,3,4,7,8,9,12)

c(A,B,C,D)=∑m(0,1,3,4,5,6,7,8,9,11)

d(A,B,C,D)=∑m(0,2,3,5,6,7,8,10,11,13,14)

e(A,B,C,D)=∑m(0,2,6,8,10,14)

f(A,B,C,D)=∑m(0,4,5,6,8,9,12,13,14)

g(A,B,C,D)=∑m(2,3,4,5,6,8,9,10,11,12,13,14)

4. 总芯片数为:16片

5. Y1(A,B,C)=∑m(2,3,4,5)

Y2(A,B,C)=∑m(3,5,6,7)

Y3(A,B,C)=∑m(0,3,4,6,7)

Y4(A,B,C)=∑m(0,2,5,6)

6. 设:x的取值范围为0~15的正整数,则对应的是4位二进制正整数,用B=B3B2B1B0表示。根据y=x2可算出y的最大值是152=225,可以用8位二进制数Y=Y7Y6Y5Y4Y3Y2Y1Y0表示。

7.

8.用ROM存储字符Z。

9.

10.

11.此电路要求16个输入端和2个输出端,所以用GAL16V8就可以完成设计。其逻辑表达式为

Y 1=A1·A2·A3·A4·A5·A6·A7·A8

876543212B B B B B B B B Y +++++++=

这是一个纯组合电路, 安排引脚时可以按照101和100方式。 GAL16V8的1, 11和2~9脚为10个直接输入端,8个OLMC (12~19脚)中,15、16脚只能作输出,其余的6个设计为输入信号。

12.

ABC C AB BC A C B A Y AD

AC D C A D AB Y AC

C B B A Y ABCD

D ABC AB B A Y +++=+++=++=+++=4321

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

数字电子技术基础课后答案全解

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15), 写成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式 (1) F 1 =1ABC AB += (2) F 2 =ABCD ABD ACD AD ++= (3)3F AC ABC ACD CD A CD =+++=+ (4) 4()()F A B C A B C A B C A BC =++?++?++=+ 【3-3】 用卡诺图化简下列各式 (1) 1F BC AB ABC AB C =++=+ (2) 2F AB BC BC A B =++=+ (3) 3F AC AC BC BC AB AC BC =+++=++ (4) 4F ABC ABD ACD CD ABC ACD A D =+++++=+

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电路答案大全(DOC)

数字电路试卷答案大全 试卷A 一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共 20分) 1.将十进制数(18)10转换成八进制数是 [ ] ① 20 ② 22 ③ 21 ④ 23 2. 三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 [ ] ① m2 ② m5 ③ m3 ④ m7 3.一片64k ×8存储容量的只读存储器(ROM ),有 [ ] ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线 4.下列关于TTL 与非门的输出电阻描述中,正确的是 [ ] ①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻 5.以下各种ADC 中,转换速度最慢的是 [ ] ① 并联比较型 ② 逐次逼进型 ③ 双积分型 ④ 以上各型速度相同 6. 关于PAL 器件与或阵列说法正确的是 [ ] ① 只有与阵列可编程 ② 都是可编程的③ 只有或阵列可编程 ④ 都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为 [ ] ① 无穷大 ② 约100欧姆 ③ 无穷小 ④ 约10欧姆 8.通常DAC 中的输出端运算放大器作用是 [ ] ① 倒相 ② 放大③ 积分 ④ 求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ] ① 16 ② 32 ③ 162 ④ 216 10.一个64选1的数据选择器有( )个选择控制信号输入端。 [ ] ① 6 ② 16 ③ 32 ④ 64 二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。) 1.已知一个四变量的逻辑函数的标准最小项表示为 ()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,那么用最小项标准表 示 =*F ,以及=F ,使用最大项标准表示

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

数字电路答案大全

浙江省2002年4月高等教育自学考试 数字电路试题 课程代码:02344 一、填空题(每小题2分,共20分) 1.(3AD.08)16=(_________)10=(_____)8 2.CMOS的最基本的逻辑单元是由_________和_________按照互补对称形式连接起来构成 的。 3.按照数据写入方式特点的不同,ROM可分为掩膜ROM,_________,_________。 4.基本RS触发器的约束条件,由与非门构成的为_________,由或非门构成的为________。 5.二值逻辑中,变量的取值不表示_________,而是指______。 6.开关的开通时间t on是指开关由_________状态转换到_____状态所需的时间。 7.描述时序电路的逻辑表达式为_________、_____和驱动方程。 8.施密特触发器具有_________特性,定义为参数△U T=_________。 9.TTL反相器输入接电阻R i>2.5kΩ时,输出电压u0为_________,通常把2.5kΩ电阻称为 _________。 10.用组合电路构成多位二进制数加法器有_________和_____二种类型。 二、单项选择题(在每小题的四个备选答案中,选出一个正确答案,并将正确答案的序号填在 题干的括号内。每小题2分,共20分) 1.若ABCDEFGH为最小项,则它有逻辑相邻项个数为( ) A. 8 B. 82 C. 28 D. 16 2.半导体二极管截止时,外加电压u D为( ) A. <1.4v B. <1v C. <0.7v D. <0.5v 3.如果编码0100表示十进制数4,则此码不可能是( ) A. 8421BCD码 B. 5211BCD码 C. 2421BCD码 D. 余3循环码 4.用或非门构成基本触发器,发生竞态现象时,RS变化为( ) A. 00→11 B. 01→10 C. 11→00 D. 10→01 5.构成移位寄存器不能采用的触发器为( ) A. R-S型 B. J-K型 C. 主从型 D. 同步型 6.555定时器构成的单稳态触发器输出脉宽t w为( ) A.1.3RC B.1.1RC C.0.7RC D.RC 7.A/D转换器中,转换速度最高的为( )转换。 A. 并联比较型 B. 逐次渐近型 C. 双积分型 D. 计数型 8.TTL参数由大到小排列正确的是( ) A. U OHmin、U IHmin、U ILmax、U OLmax B. U IHmin、U OHmin、U OLmax、U ILmax C. U OHmin、U IHmin、U OLmax、U ILmax D. U IHmin、U OHmin、U ILmax、U OLmax 9.4位集成数值比较器至少应有端口数( )个。 A. 18 B. 16 C. 14 D. 12 10.以下PLD中,与、或阵列均可编程的是( )器件。 A. PROM B. PAL C. PLA D. GAL 三、分析题(1、2、3题各5分,4、5、6、7题各6分,共39分) 1.用公式和定理化简

数字电子技术课后题答案

第1单元能力训练检测题(共100分,120分钟) 一、填空题:(每空分,共20分) 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题(每小题1分,共10分) 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。(对) 3、8421BCD码、2421BCD码和余3码都属于有权码。(错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。(对)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电子技术基础第四版课后答案

第七章半导体存储器 [题7.1] 存储器和寄存器在电路结构和工作原理上有何不同? [解] 参见第7.1节。 [题7.2] 动态存储器和静态存储器在电路结构和读/写操作上有何不同? [解] 参见第7.3.1节和第7.3.2节。 [题7.3] 某台计算机的内存储器设置有32位的地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少? [解] 最大存储量为232×16=210×210×210×26=1K×1K×1K×26=64G [题7.4] 试用4片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)组成4096×4位的RAM。 [解] 见图A7.4。 [题7.5] 试用16片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)接成一个8K×8位的RAM。 [解] 见图A7.5。

[题7.6] 已知ROM 的数据表如表P7.6所示,若将地址输入A 3A 2A 1A 0作为4个输入逻辑变量,将数据输出D 3D 2D 1D 0作为函数输出,试写出输出与输入间的逻辑函数式。 [ 解] D 3=0123012301230123A A A A A A A A A A A A A A A A +++ D 2=01230123012301230123A A A A A A A A A A A A A A A A A A A A ++++ +0123A A A A D 1=0123012301230123A A A A A A A A A A A A A A A A +++ D 0=01230123A A A A A A A A + [题7.7] 图P7.7是一个16×4位的ROM ,A 3、、A 2、A 1、A 0为地址输入,D 3、D 2、D 1、D 0是数据输出,若将D 3、D 2、D 1、D 0视为A 3、、A 2、A 1、A 0的逻辑函数,试写出D 3、D 2、D 1、D 0的逻辑函数式。 [解] 01230123012301233A A A A A A A A A A A A A A A A D +++= 0123012301232A A A A A A A A A A A A D ++= 12301230123012301231A A A A A A A A A A A A A A A A A A A A D ++++= 012301230123012301230A A A A A A A A A A A A A A A A A A A A D ++++= 01230123 A A A A A A A A ++ [题7.8] 用16×4位的ROM 设计一个将两个2位二进制数相乘的乘法器电路,列出ROM 的数据表,画出存储矩阵的点阵图。 地址输入 数据输出 地址输入 数据输出 A 3A 2A 1A 0 D 3D 2D 1D 0 A 3A 2A 1A 0 D 3D 2D 1D 0 0000 000 1 0010 001 1 0100 010 1 0110 011 1 000 1 0010 0010 0100 0010 0100 0100 1000 1000 100 1 1010 101 1 1100 110 1 1110 111 1 0010 0100 0100 1000 0100 1000 1000 000 1

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A _卷 考试形式闭卷 考核类型考试 本试卷共4大题,卷面满分100分,答题时间120分钟。 题号 -一- -二二 三 四 总分 复核人 得分 1?二进制数(1011.1001 2转换为八进制数为 2. 数字电路按照是否具有记忆功能通常可分为两类: 3. _________________________________________________________________ 已知逻辑函数 F = A ? B ,它的与非-与非表达式为 _________________________________, 或与非表达式为 _______________________ 。 4. _________________________ 5个变量可构成 ____________________________个最小 项,变量的每一种取值可使 ____ 个 最小项的值为1。 5. __________________________________ 555定时器构成的施密特触发器,若电源电压 V cc = 12V ,电压控制端经0.01 F 电容接地,则上触发电平 U T + =V ,下触发电平 U T = ___________________________________ V 。 得分 评卷人 、填空题:(每小题2分,共10分) ) 级 班 ( 业 得分 评卷人 化简题: (每小题10分,共20 分) 1.用代数法将下面的函数化为最简与或式: F=C- [ ABD BC ABD +(B+C)D] ,转换为十六进 线

相关文档
最新文档