PowerDesign高级应用+

PowerDesign高级应用+
PowerDesign高级应用+

1.PowerDesigner技巧

1.1 PowerDesigner使用MySQL的auto_increment

◇问题描述:

PD怎样能使主键id使用MySQL的auto_increment呢?

◇解决方法:

打开table properties窗口→columns →选中id列→打开columns properties窗口→勾选identity即可

注意:概念模型没有此选项,物理模型才有2、PowerDesigner去掉SQL脚本中的双引号◇问题描述:

PD生成Oracle数据库建表SQL时,默认会给表名和字段名加上双引号,怎样能去掉SQL脚本中的双引号呢?◇解决方法:

把Database →Edit Current DBMS →General →Script →Sql →Format →CaseSensitivityUsingQuote设置为NO即可

1.2 PowerDesigner生成SQL语句时不使用Domain

◇问题描述:如果在PD中使用了Domain,生成SQLServer的数据库SQL的脚本中会使用与Domain对应的自定义数据类型,而不会使用SQLServer自己的数据类型,怎样能让PD生成SQL语句时使用SQLServer自己的数据库类型,而不是Domain对应的自定义数据类型呢?

◇解决方法:

把Database →Edit Current DBMS →General →Script →Objects →Domain →Enable设置为NO 即可

1.3 PowerDesigner中设置Entity的默认字体

◇问题描述:创建Entity时,如果PD默认字体不适合自己的个人习惯,每次创建了一个实体后都要修改实体的字体,非常麻烦,是否有只修改一次一劳永逸的方法呢?

◇解决方法:Tools →Display Preferences... →Format →Entity →Modity... →Font →进行相应修改并保存设置→Set As Default

1.4 PowerDesigner中设置Entity自动调整尺寸大小

◇问题描述:

当给Entity添加了字段或其他修改时,Entiry的显示尺寸大小不会自动调整,怎样解决呢?

◇解决方法:在Entity上点击右键→单击Format...菜单项→选择size标签页→勾选Auto adjust to text即可

1、做CDM模型的时候,因为开始定义ITEM的时候,没有注意把NAME和CODE全定义成一样的。结果后面想改的时候比较麻烦,一修改NAME结果CODE也变了。后来找到两个方法可以解决这个问题。

A、PowerDesign中的选项菜单里修改,在[Tool]-->[General Options]->[Dialog]->[Operating modes]->[Name to Code mirroring],这里默认是让名称和代码同步,将前面的复选框去掉就行了。

B、把ITEM页面的GENERAL下的CODE和NAME后面那个等号不要点进去就可以了。

2、CDM转换成PDM,最后生成DDL语句的时候。在对象前面自动加上了双引号。比较烦。

对于大小写不敏感的数据库(如Oracle),PowerDesign在创建脚本时自动给表名和字段名加上双引号,以“强字符串”形式来获取区分大小写,这样给sql语句的编写制造很多麻烦。去掉这个添足的功能:Database -〉Edit Current DBMS -〉Script. -〉Sql -〉Format -〉CaseSensitivityUsingQuote右边面板参数值Value选择No。

3、由pdm生成建表脚本时,字段超过15字符就发生错误(oracle)

解决办法是打开PDM后,会出现Database的菜单栏,进入Database-Edit Current DBMS-script-objects-column-maxlen,把value值调大(原为30),比如改成60。出现表或者其它对象的长度也有这种错误的话都可以选择对应的objects照此种方法更改。

4、我们好多表里面固定了一些字段比如DW_INS_DATE、DW_UPD_DATE,如果每个实体都要一个个加太麻烦了。想有一个方法能够一下子把所有的表都加上这些字段。

修改建表脚本生成规则。如果每个表格都有相同的字段,可以如下修改:Database -> Edit Current DBMS展开Script. -> Object -> Table -> Create见右下的Value值,可以直接修改如下:/* tablename: %TNAME% */create table [%QUALIFIER%]%TABLE% (%TABLDEFN%,dw_ins_date date default sysdate,dw_upd_date date default sysdate)[%OPTIONS%]其中的dw_ins_date、dw_upd_date两列会在生成SQL脚本的时候自动的插入每个表格中,其中的%TNAME%变量是给每个表格的SQL添加一个该表的Name值注释。

5、做CDM模型的时候,我有两个实体都用到了SESSION_ID和STEP字段。结果我把这两个字段设为某一个实体主键后。再另一个实体中就不能用了。PowerDesigner默认在CDM中不能存在相同名称的实体属性,这也是考虑到可能产生的一些如主键外键等名称冲突问题,但当我们进行实际数据库设计时,可能会多次使用相同数据项(DataItem)便于理解各实体。为此需要对更改PowerDesigner相关设置。软件默认为DataItem不能重复使用(重名),需要进行以下操作:选择Tools->Model Options,在Model Setting设置目录中,将Data Item下的Unique Code取消选中即可,系统默认将Unique Code和Allow Reuse均选中。同时该设置均是面向特定模型的,即针对当前模型有效,若希望在其它模型中也有此命名设置,则需要重新进行设置。不过在Check Model时,如果选择全部Check,则依旧会报DataItem 重名的错误信息,这时需要我们在人为检查确认数据项无误时,可以在选择不对DataItem不检查。

6、powerdesigner如何转换成word文档或excel文档

ctrl+e

7、从PDM模型中如何去掉Table图中主键的下划线并将主键红色显示。

鼠标选中一个表,然后右键选择format,或者Ctrl+T,选择FONT根据不同的SYMBOL进行设置。

2.PowerDesigner常用设置

1、PowerDesigner图表窗口中图表的排版

1)为了使实体等Symbol看起来显眼和舒服。可以根据个人喜好进行外观上的调整。当前设计界面中,右键-Display Perferences中进行设置。还可以增加shadow效果。选中Symbol后,Ctrl+W。或者右键菜单。(该效果给人的视觉非常好,建议大家可以试一试噢)

2)使布局整齐。选中需要调整的Symbol后,菜单-Symbol-Align进行设置。快捷键:ctrl+UP,ctrl+Down,ctrl+Left,ctrl+Right即为上下左右对齐。

3.图表中的实体、表或者组件等显示字体样式和大小的设置

1)打开需要设置的模型图表,选择Tools-Display Preferences...,进入

在Format菜单下选择要设置的对象,点击右边的Modify...按钮进入如下设置界面:

在该界面中进行相应的设置后直接点击确定,即可看到所设置的效果了。。。

https://www.360docs.net/doc/aa586593.html, to Code mirroring设置

在PowerDesigner定义对象属性时,当输入对象属性名称后,对应的代码将自动输入和名称

一样的值,该项功能可根据需要和各自的习惯,在Tools→General Options→Dialog里对

Name to Code mirroring选项进行选中或取消选中的设置,以达到我们想要的效果

PowerDesign高级应用

编写相关的VBS脚本在PowerDesign里自定义一些命令与操作等,具体的可以参考C:\Program Files\Sybase\PowerDesigner 9\VB Scripts目录下的脚本示例。

怎么运用这些脚本呢?

在Tools-》Execute Commands里可以进行操作。具体说明在帮助里写的很清楚。帮助的位置在PowerDesigner General Features Guide-> PART 2. Modeling Guide->CHAPTER 8. Managing Objects->Accessing objects using VBScript->VBScript uses in PowerDesigner

PowerDesign的使用主要是DBMS的配置

1、修改建表脚本生成规则。如果每个表格都有相同的字段,可以如下修改:

Database -> Edit Current DBMS 展开Script -> Object -> Table -> Create 见右下的Value值,可以直接修改如下:

/* tablename: %TNAME% */

create table [%QUALIFIER%]%TABLE% (

%TABLDEFN%

ts char(19) null default convert(char(19),getdate(),20),

dr smallint null default 0

)

[%OPTIONS%]

其中的ts、dr 两列会在生成SQL脚本的时候自动的插入每个表格中,其中的%TNAME% 变量是给每个表格的SQL添加一个该表的Name值注释。

2、修改字段生成规则。要给每个字段都添加一个注释的话,同一窗口中展开Script -> Object -> Column -> Add 的Value修改为:

%20:COLUMN% [%COMPUTE%?AS (%COMPUTE%):%20:DATATYPE% [%IDENTITY%?%IDENTITY%:[%NULL%][%NOTNULL%]][ default %DEFAULT%]

[[constraint %CONSTNAME%] check (%CONSTRAINT%)]]/*%COLNNAME%*/

其中的%COLNNAME%就是列的Name值(可以是中文)

3、修改外键命名规则。选择Database—>Edit Current DBMS

选择Scripts-》Objects-》Reference-》ConstName

可以发现右侧的Value为:

FK_%.U8:CHILD%_%.U9:REFR%_%.U8:PARENT%

可见,该命名方法是:'FK_'+8位子表名+9位Reference名+8位父表名,你可以根据这中模式自定义为:

FK_%.U7:CHILD%_RELATIONS_%.U7:PARENT%,

可以使FK名称变为FK_TABLE_2_RELATIONS_TABLE_1

掌握这种方法后就可以按照自己的想法修改了

生成建库脚本SQL文件中的表头注释很讨厌,可以在Databse -> Generate Database (Ctrl+G)窗口中,选择Options 卡片,去掉Usage的Title钩选项即可。

4、添加外键

Model -> References新建一条外键后,双击进入外键属性,在“Joins”卡片中可以选择子表的外键字段

5、去掉生成的SQL脚本双引号的问题:ORACLE 8I2::Script\Sql\Format\CaseSensitivityUsingQuote改成No,默认是Yes所以会有双引号。

在修改name的时候,code的值将跟着变动,很不方便。修改方法:PowerDesign中的选项菜单里修改,在[Tool]-->[General Options]->[Dialog]->[Operating modes]->[Name to Code mirroring],这里默认是让名称和代码同步,将前面的复选框去掉就行了

PowerDesigner11学习点滴

、安装PD v11.0版

2、由pdm生成建表脚本时,字段超过15字符就发生错误(oracle)

原因未知,解决办法是打开PDM后,会出现Database的菜单栏,进入Database -Edit Current DBMS -script-objects-column-maxlen,把value值调大(原为30),比如改成60。出现表或者其它对象的长度也有这种错误的话都可以选择对应的objects照此种方法更改!

或者使用下面的这种方法:

生成建表脚本时会弹出Database generation提示框:把options -check model的小勾给去掉,就是不进行检查(不推荐)!

或者可以修改C:\Program Files\Sybase\PowerDesigner Trial 11\Resource Files\DBMS\oracl9i2.xdb文件

修改好后,再cdm转为pdm时,选择“Copy the DBMS definition in model”把把这个资源文件拷贝到模型中。

3、生成的建表脚本中如何把对象的双引号去掉?

打开cdm的情况下,进入Tools-Model Options-Naming Convention,把Name和Code 的标签的Charcter case选项设置成Uppercase或者Lowercase,只要不是Mixed Case就行!或者选择Database->Edit current database->Script->Sql->Format,有一项CaseSensitivityUsingQuote,它的comment为“Det ermines if the case sensitivity for

identifiers is managed using double quotes”,表示是否适用双引号来规定标识符的大小写,可以看到右边的values默认值为“YES”,改为“No”即可!

或者在打开pdm的情况下,进入Tools-Model Options-Naming Convention,把Name和Code的标签的Charcter case选项设置成Uppercase就可以!

4、建立一个表后,为何检测出现Existence of index的警告

A table should contain at least one column, one index, one key, and one reference.

可以不检查Existence of index 这项,也就没有这个警告错误了!

意思是说没有给表建立索引,而一个表一般至少要有一个索引,这是一个警告,不用管也没有关系!

5、创建一个表在修改字段的时候,一修改name的内容,code也跟着变化,如何让code不随着name变化

Name和Code 的右侧都有一个按钮“=”,如果需要不同步的话,把这个按钮弹起来就可以了。Tools->General Options->Dialog->Name to Code Mirroring (去掉)

6、由CDM生成PDM时,自动生成的外键的重命名

PDM Generation Options->Detail->FK index names默认是%REFR%_FK,改为FK_%REFRCODE%,其中%REFRCODE%指的就是CDM中Relationship的code!另外自动生成的父字段的规则是PDM Generation Options->Detail->FK column name template中设置的,默认是%.3:PARENT%_%COLUMN%,可以改为Par%COLUMN%表示是父字段!

7、如何防止一对一的关系生成两个引用(外键)

要定义关系的支配方向,占支配地位的实体(有D标志)变为父表。

在cdm中双击一对一关系->Detail->Dominant role选择支配关系

8、修改报表模板中一些术语的定义

即文件:C:\Program Files\Sybase\PowerDesigner Trial 11\Resource Files\Report Languages\Chinese.xrl

Tools-Resources-Report Languages-选择Chinese-单击Properties或双击目标

修改某些对象的名称:Object Attributes\Physical Data Model\Column\

ForeignKey:外键

Mandatory:为空

Primary:主键

Table:表

用查找替换,把“表格”替换成“表”

修改显示的内容为别的:Values Mapping\Lists\Standard,添加TRUE的转化列为是,FALSE 的转化列为空

另外Report-Title Page里可以设置标题信息

9、PowerDesigner11中批量根据对象的name生成comment的脚本

'******************************************************************* ***********

'* File: name2comment.vbs

'* Purpose: Database generation cannot use object names anymore

' in version 7 and above.

' It always uses the object codes.

'

' In case the object codes are not aligned with your

' object names in your model, this script will copy

' the object Name onto the object comment for

' the Tables and Columns.

'

'* Title: 把对象name拷入comment属性中

'* Version: 1.0

'* Author:wangnc

'* 执行方法:PD11 -- Open PDM -- Tools -- Execute Commands -- Run Script

'******************************************************************* ***********

Option Explicit

ValidationMode = True

InteractiveMode = im_Batch

Dim mdl ' the current model

' get the current active model

Set mdl = ActiveModel

If (mdl Is Nothing) Then

MsgBox "There is no current Model"

ElseIf Not mdl.IsKindOf(PdPDM.cls_Model) Then

MsgBox "The current model is not an Physical Data model."

Else

ProcessFolder mdl

End If

' This routine copy name into code for each table, each column and each view

' of the current folder

Private sub ProcessFolder(folder)

Dim Tab 'running table

for each Tab in folder.tables

if not tab.isShortcut then

https://www.360docs.net/doc/aa586593.html,ment = https://www.360docs.net/doc/aa586593.html,

Dim col ' running column

for each col in tab.columns

https://www.360docs.net/doc/aa586593.html,ment= https://www.360docs.net/doc/aa586593.html,

next

end if

next

Dim view 'running view

for each view in folder.Views

if not view.isShortcut then

https://www.360docs.net/doc/aa586593.html,ment = https://www.360docs.net/doc/aa586593.html,

end if

next

' go into the sub-packages

Dim f ' running folder

For Each f In folder.Packages

if not f.IsShortcut then

ProcessFolder f

end if

Next

end sub

PowerDesign图文教程

PowerDesign 6简易介绍 --taoqing 建立一个新table (1) 定义或修改表名/表结构 (2) 生成table sql script(脚本) (4) 其他 (5) 定义表索引 (5) 常用功能介绍 (5) 建立一个新table 图示 1 点击箭头所示图标,然后再点击绿色区域,一张空table即出现在屏幕上。如果需要产生多张空白table,则在绿色区域继续点击。结束产生空白table可点击Mouse右键,此时Mouse 指示会恢复为箭头形状。

定义或修改表名/表结构 图示 2 双击你需要修改的表,在弹出的窗口(图示3),定义两处内容:(Name用中文英文以便查询写程序的时候方便,Code即是你最终会产生的table name) 图示 3

点击图示 3 中的按钮[Columns] 注: (1) 表示是否为primary Key ,即主关键字 (2) 表示是否不允许为Null (即Not Null 选项) 对于需要有Default 值的字段,可以点击按钮[CHECK],例如定义”录入日期inputdate”的默认值为当前日期:

生成table sql script(脚本) 选择你需要产生的table: 1.按住用Mouse左键,然后在powerdesign绿色区域移动,可以选择多张table; 2.可以按住shift键,用Moues点击以选择多个table。 选择菜单[Database]-[Generate Database ….]或按热键Ctrl + G,出现下图: 点击[Generate Script]按钮,选择[YES],[YES],最终看到产生的脚本如下:

(完整word版)PowerDesigner16.5中文教程-数据库模型操作教程

PowerDesigner16.5中文教程-数据库模型操作教程 目录 使用POWERDESIGNER软件创建数据库概述 (2) 2 1、概念数据模型(CDM)(C ONCEPTUAL D ATA M ODEL) ............................................................................... 2 2、逻辑数据模型(LDM)................................................................................................................................... 3、物理数据模型(PDM)(P HYSICAL D ATA M ODEL)-最主要和常用的数据库模型 (3) 3 4、面向对象模型(OOM)(O BJCET O RIENTED M ODEL).......................................................................... 3 5、业务程序模型(BPM)(B USINESS P ROCESS M ODEL)......................................................................... 3 6、信息流模型(ILM)...................................................................................................................................... 3 7、企业架构模型(EAM):............................................................................................................................. 创建数据模型 (3) 3 1、创建概念数据模型 ........................................................................................................................................ 2、创建物理数据模型 (14) 模型转换 (27) 1、概念模型转为物理模型 (27) 2、物理模型转概念模型 (29) 3、不同数据库之间的转化 (30) 导入导出SQL脚本 (31) 1、物理模型导出SQL语句(生成SQL脚本) (31) 2、导入SQL脚本(反向工程)生成相应的数据库表模型图 (34) 由物理模型生成对象模型,并生成相应的GET、SET方法 (35) 建立索引、视图、存储过程 (37) 1、建立索引 (37) 2、建立视图 (39) 3、建立存储过程 (41) 生成数据库文档(开发的数据库设计文档) (42) 常规配置 (43) 1、默认字体太小,修改为10号字 (43) 2、将所有名词转化为大写 (44) 3、修改表的字段N AME的时候,C ODE不自动跟着变 (45)

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

powerdesigner使用心得

PowerDesigner使用心得 PowerDesigner想必没人不知道吧?著名的CASE工具,目前最新版本为15.2,用于软件建模,可以从需求直到物理模型,支持UML2.0语法,可用于UML图绘制。最大特色是能够使设计到实现无缝衔接,如:概念模型->逻辑模型->物理模型,概念模型->OOM,而且能够生成多种DBMS的脚本或代码脚本。 1 name/code默认总是一起修改,很烦躁,在tool ->general options,取消即可。 2 概念模型->物理模型转换,会为“1对1”关系自动生成两条引用,每次都要手工删除,很麻烦。在概念模型中为关系指定dominant role即可。

3 设定计算列,在物理模型中选择某个列,勾选computed,然后在detail中设置计算表达式即可 修改表达式,可引用表中的其他列。

4 设定列中数据为自增量类型,勾选列属性中的identity。 5 修改某个列的数据类型后,使用它做外键的表中相应数据类型并不会变化,如果被引用的地方多,那修改起来可是一个大工程,而且容易出错,此时可在check model设置为自动修正。

6 PD无法直接生成GUID类型的测试数据,GUID 的格式为“xxxxxxxx-xxxx-xxxx-xxxx-xxxxxxxxxxxx”,其中每个 x 是 0-9 或 a-f 范围内的一个十六进制的数字。例如: 6F9619FF-8B86-D011-B42D-00C04FC964FF 即为有效的 GUID 值。默认DBMS配置文件有问题,需要修改数据类型为VA36(= varchar(36) )、长度为36位( 32位16进制数字 + 4个“-”)。Database->edit current DBMS,修改PhysDataType中uniqueidentifier的内容为VA36.也可下载补丁: https://www.360docs.net/doc/aa586593.html,/source/2284780

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

[PowerDesign]数据库设计:需求模型(RQM)的简单介绍与案例教程(二)

汪海的实验室 - - 各种图形学实验和数据结构实验以及其他一切琐碎杂乱的小笔记们都相遇在此齐聚一堂共同守候 0 error(s), 0 warning(s) 这神奇时刻的到来 [PowerDesign]数据库设计:需求模型(RQM)的简单介绍与案例教程(二) 分类: PowerDesign数据库 2013-02-15 18:07 98人阅读 评论(0) 收藏举报 上一章:[PowerDesign]数据库设计:需求模型(RQM)的简单介绍与案例教程(一) 定义Users和Groups Users(用户) 指在一个需求模型中至少和一个已定义需求有关的人的集合。 Groups(组) 指专属于开发进程中一个或多个方面的用户类别。每个用户组要与需求模型中至少一个已定义需求有关。 新建User/Group 在资源浏览窗口中,右键单击模型名称(图标)-->New--> User,打开User属性窗口: 输入相应名称和代码名,确定即完成新建。

同样也可在菜单栏选择”Model”-->Users完成新建过程。 在资源浏览窗口中,右键单击模型名称(图标)-->New--> Group,打开Group窗口创建一个新的用户组:

下一步是将相应的User与Group联系,添加进Group中。 打开相应的Group属性,选择Group Users属性栏。 点击属性工具栏中”Add Objects”工具,从中选择您要添加的User对象,当然只有在您已经建立了相应的User对象

时才会显示User成员列表。 现在选择您需要添加的User对象,确定就可以了。 建立Business rules(业务规则) 业务规则是对为了满足业务需求,模型应该包括的特定内容或关于如何构建模型方面的描述清单。 在这里的示例模型中,我们要定义关于论坛积分制度的业务规则。 在Requirement Model状态下,PowerDesgner默认Businss为不可用状态,为此我们需要通过新建 Extended model definition(扩展模型定义)来激活Business rules。 步骤如下: 选择菜单栏 Model-->Extended Model Definitions,这时打开List of Extended Model Definitions,通过选择其工具栏中”Add a Row”工具,如下图: 点击AddRow,添加一行:

Office高级应用教程教学大纲

《OFFICE高级应用教程》课程教学大纲 一、课程基本信息 课程编号:020008 课程名称:OFFICE高级应用教程 课程类别:专业基础课(必修) 学时学分:48学时/3学分(理论32学时/2学分、实验16学时/1学分) 适用专业:非计算机类 开课学期:第2学期 先修课程:大学计算机基础、计算机科学导论 后续课程:C语言程序设计、数据库原理及应用 制订人:*** 制(修)订时间:2018-1-16 二、课程性质与任务 本课程是在《大学计算机基础》后开设的一门专业必修课,在学习本课程前学生应该较好地掌握前期课程中对Office软件操作的介绍。 《OFFICE高级应用教程》课程学习的主要内容为计算机基础及公共基础、Word排版、Excel表格操作、PPT应用等。通过本课程的学习,使学生掌握和理解办公软件的基本应用,能根据实际要求进行一定的文档排版和表格处理,为后续课程奠定专业技术基础。 三、课程教学基本要求 本课程以课堂教授为主,辅之一定的习题练习,使学生能从应用的角度出发,掌握计算机基础及公共基础、Word排版、Excel表格操作、PPT应用等。具体要求如下:(1)熟练掌握计算机的概述、信息的表示与存储、计算机硬件系统组成、计算机软件系统组成、全国计算机二级公共基础知识; (2)理解并掌握Word 2010的操作界面、创建并编辑文档和美化文档外观; (3)掌握文档的编辑与管理、文档的修订与共享、宏命令的定义与使用和使用邮件合

并技术批量处理文档; (4)掌握Excel 2010制表基础、工作簿与多工作表操作、Excel公式和函数; (5)掌握Excel中创建图表、Excel数据分析与处理、Excel中的超级表格和Excel与其他程序的协同与共享; (6)掌握PowerPoint2010的工作窗口、创建和保存演示文稿、幻灯片的视图方式、新建幻灯片、选择幻灯片、移动、复制幻灯片、删除幻灯片、隐藏幻灯片、为幻灯片中的文字编辑; (7)掌握幻灯片的版式、幻灯片的主题、幻灯片的背景、幻灯片的母版; 四、课程教学内容及各教学环节要求 第一章计算机基础及公共基础知识(6学时) 了解:计算机的发展、计算机的分类、未来计算机的发展趋势、多媒体技术。 理解:信息的表示与存储,计算机软/硬件系统结构、计算机病毒及防治、Internet基础及应用。 掌握:数据与信息的表示、计算机软/硬件系统结构、公共基础知识。 重点内容:信息的表示与存储、计算机软/硬件系统结构、公共基础知识。 难点内容:信息的表示与存储、公共基础知识。 第1节计算机概述 知识点:计算机的发展;计算机的特点、用途和分类;计算机的分类;未来计算机的发展趋势;信息技术。 第2节信息的表示与存储 知识点:数据与信息;计算机中的数据;计算机中数据的单位;字符的编码。 第3节计算机硬件系统 知识点:运算器;控制器;存储器;输入/输出设备。 第4节计算机软件系统 知识点:软件的概念;软件系统及其组成。 第5节多媒体技术简介 知识点:多媒体的特征;媒体的数字化;多媒体数据压缩。 第6节计算机病毒及其防治 知识点:计算机病毒的特征和分类;计算机病毒的预防。 第7节Internet基础及应用

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

学习PowerDesigner创建物业系统概括的心得体会

第一篇、PowerDesigner基础学习 学习PowerDesigner创建物业系统概括的心得体会 第二篇、PowerDesigner学习--概要介绍 学习PowerDesigner创建物业系统概括的心得体会 选项设置进行改变)。在一个模型当中,实体的名字不能重复。 Code在模型转化时一般作为对象的物理名称,比如把实体属性的Code转化为数据库中的列名,当然我们现在不必为了这个实体将来叫什么而费神,一般采取与Name一致即可。Generate默认是选择状态,如果取消,则在转化为其他模型时,会忽略这个实体。 Attributes 项目 窗口中下面表格里的各项很类似于一个表结构的定义,但数据类型是经过抽象化的,采用独立的表示方法,不与任何一个具体的数据库系统相关。

在此项目中为当前实体添加属性。 后面的三列CheckBox分别代表? ? ? M此属性不允许为空值P此属性为主键标识D为可显示属性 按“Crtl+U”呼出“定制列过滤器”的窗口,可以根据自己的喜好和实际需要选择那些列出现在窗口中,那些隐藏。使用快捷键“Crtl+E”可以允许或者禁止当前过滤器。 定义关系双击关系(Relationship)的符号,进入关系的属性页, 一般最好为关系取一个贴切的名字,本例的业务关系描述如下一个部门有多个员工,我们使用“Has”作为这个关系的名字。 同样的我们也可以描述为多个员工属于一个部门,可不可以使用“Belong to”作为关系名字呢?一般不推荐这样做,在概念图中有一个约定,关系的名字采用从“1,n”中“1”所在的 方向向“n”所在一方进行读取的语义。本例即“1”在部门一方,从部门

PowerDesigner教程(完整)

前言 在CSDN上转悠经常看到有网友寻求PowerDesigner相关资料的帖子,Baidu,Google上找找还真很少;同时也有不少网友发来Email询问相关PowerDesigner问题或索要相关资料的,故下定决心制作本文档。折腾二十多天,终于输出了现在的文档,其中绝大部分内容都是依照PowerDesigner自带的帮助文档翻译过来,乐意啃英文的朋友最好还是看其”原汁”教程,同时本文档仅用于帮助分析设计人员更快熟悉掌握PowerDesigner的使用方法,不包含分析设计方面的理论,所以要作好系统的分析设计工作还是需要用户深厚的项目实践功底。 起初想尽量按照PowerDesigner自带帮助文档完整地进行,尝试了一上午的工作之后这种方案马上就被我否决,原因有二:1.内容太多,工作量太多。2.原帮助文档特别周全,个人觉得可以在内容上作很大程度的压缩。姑决定按原帮助文档写,同时加入自己目前正在做的技术论坛分析设计过程以便于理解。 对本文档内容的几点说明: 1.本文档只包括PowerDesigner部分内容(RQM,Report,CDM,PDM),内容不够全面。 2.内容尽量简略,一些相同或类似操作过程尽量不再重复。 3.部分术语参考了飞思科技产品研发中心监制电子工业出版社的《PowerDesigner数据库系统分析设计与应用》。 4.暂时没有包含OOM,XML,BPM,ILM等模型内容,我将会在后期陆续更新。 版本说明:我使用的是PowerDesigner Trial 11英文版,因此文档中一些菜单,按钮名称也用英文写出(因当心自己译出的名称和中文版上的名称不一致而造成理解不便),若是给使用中文版的朋友带来不便,我在这说声”抱歉”了!同时由于各版本不同部分操作可能会有所区别。 这里要感谢在我进行翻译工作期间给我发送Email关注的网友,感谢一直支持我的朋友们!由于第一次做翻译工作,限于水平有限,文档中肯定存在很多不足和错误之处,衷心欢迎各位网友指点迷津,期望得到您的指导! Email:dingchungao@https://www.360docs.net/doc/aa586593.html, dingchungao@https://www.360docs.net/doc/aa586593.html, QQ:330982401 Blog:http:\\https://www.360docs.net/doc/aa586593.html, Slash 2006.8.31 需求模型 PowerDesigner11.0.0.1363评估版 为了更好的将原文含义再现,不加入我个人语言习惯,我尽量按照原文档内容翻译。 环境简介

《办公软件高级应用》课程教学大纲

《办公软件高级应用》课程教学大纲 一、课程名称 二、课程性质 (一)修读对象 国际交流学院的应用韩语专业,基础教育学院的小学教育专业,蒙古学学院的蒙古语言文学、蒙汉双语专业,民族艺术学院的舞蹈学、音乐表演、民族民间音乐表演、产品设计、服装与服饰设计、绘画、美术学专业,体育学院的社会体育、体育教育、运动训练专业,网络技术学院的动漫创意、游戏设计专业,音乐学院的音乐表演、舞蹈学、作曲与作曲技术理论、音乐学专业。使用汉语进行授课。 (二)课程特点 《办公软件高级应用》是全校学生的一门公共必修课程,学分为2学分,其先修课程为《大学计算机基础I》。《办公软件高级应用》主要内容为office办公软件的高级功能应用技巧,具有较强的实践性,需要学生自己动手,强化练习。通过学习本课程可以帮助学生掌握办公自动化的基本概念以及办公集成软件的高级应用技术,进而理解计算思维在本专

业领域的典型应用,为后续专业课程提供必要的基础;同时针对我校报考全国计算机等级考试二级《MS Office 高级应用》的学生较多但过关率较低的的情况,本门课程教学内容与全国计算机等级考试二级《MS Office 高级应用》考试内容紧密结合,可以帮助学生掌握考试内容,提高学生全国计算机等级考试通过率。 《办公软件高级应用》课程的主要内容为office办公软件的高级功能应用技巧,与《大学计算机基础I》课程内容接轨,围绕全国计算机等级考试二级《MS Office 高级应用》考试大纲设置内容,分为6个模块,第1模块主要介绍计算机基础知识,第2个模块主要介绍word高级应用,第3个模块主要介绍PowerPoint高级应用,第4个模块主要介绍EXCEL 高级应用,第5个模块主要介绍VBA编程基础,第6个模块主要围绕前五个模块进行综合练习。 (三)与其它课程关系 1先修课程 该课程的先修课程是大学计算机基础(一)。 2后续课程 该课程的后续课程为专业相关的计算机应用课程。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

PowerDesigner15使用时的十五个问题

简介 PowerDesigner的主要作用一般还是数据库建模,并生成对应的数据库设计文档,可以与数据库保持同步。 一般常用的有CDM,PDM,UML建模,CDM可以转为PDM。 支持正向[生成数据库]和逆向工程[从数据库中生成],并直接关联到到数据库中,PDM可以直接和数据库进行关联,并将数据库,表,视图,触发器等数据库对象生成到数据库中,也可将这些对象更新到PDM中。 PowerDesigner的几个主要常用菜单是MODEL、DATEBASE、Tool,这三个菜单下命令使用比较多,要熟悉。PD 还可以用来画用例图,序列图,类图等UML图。 也可以画企业架构图,软件应用架构图等等图形 15个问题列表: No.1:是不是一定要从CDM开始设计,然后在进行PDM的设计? NO.2:工具栏palette不见了,如何把它找出来? NO.3: 如何建立与数据库的关联? NO.4: 域和数据项有何作用? No.5: 如何生成主键的自定义增长列,SQL Server和Oracle数据分别是如何操作的? No.6: NAME和CODE联动,如何取消? NO.7. 如何实现字段的NAME和Comment属性互换? NO.8: 如何修改某个对象的命名规则,比如外键,比如主键,比如触发器等? No.9: 如何用PD生成测试数据,以便测试? No.10 如何把CODE的NAME中文也生成在脚本中,也就是列名后就是NAME的说明文字? NO.11 如何将数据库结构,表名,表的字段导出到Word中,或者生成HTML文件以便查看? No.12 如何去除生成SQL时的双引号问题,双引号在Oracle中可以保证大小写,却异常麻烦? NO.13 如何修改PD的显示样式? No.14 如何去除表名,字段的长度限制? No.15 如何进行数据库的逆向工程? 说明:本文提到的PD基于PD15.英文版。 No1:是不是一定要从CDM开始设计,然后在进行PDM的设计? 本人觉得没有必要,项目的大小、熟悉程度、起步和个人习惯不一样,熟练业务的,项目小的直接用PDM设计未尝不可。 NO2:工具栏palette不见了,如何把它找出来? 这个问题我找了所有的菜单才找到,在【Tools】-【Customize Toolbars】点击打开,列表项里的【palette】选中即可,此外,这个窗口中还可以设计对齐的方式等等。

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

powerdesign教程文档

实验一 数据库 E-R 模型建立
一.实验目的: 实验目的:
1. 了解天据库设计概念设计中的基本知识:如实体、所性、联师集… 2. 3. 4. 能解决设计中常见问题:如用实体集还是用所性 使学学能独成完成天据库模式的设计,包括各表的结构(所性姓、类型、约束和师键 字等)及表之成的师师以及其连接方式,在选定的 DBMS 上建成天据库表。 熟悉天据库建模工具的使用(PowerDesigner 9) 5. 学会使用 Sybase 公司的 PowerDesigner 9 建模工具绘制概念模型图。
二.实验要求: 实验要求:
1. 认真阅读业务需求,作出 E-R 图,并依据设计原则对天据库结构的设计务必做到最优化 2. 在选定的 DBMS 上建成天据库,比较手工输入 SQL 建成天据库与用建模工具自动学成天 据库的优缺点
三.实验环境及学成安排: 实验环境及学成安排:
1. OFFICE 2000,POWERDESIGNER9.0
2. SQL SERVER 2000 3. 学成:4 学成 四.内容提要
使用 PowerDesigner 9 绘制概念模型图 随着天据库应用师统的广泛使用,各大天据库厂商和第三方合作开发了智能化的天据 库建模工具,如 Sybase 公司的 PowerDesigner、RATIONAL 公司的 Rational Rose、Oracle 公司的 CASE*METHOD 等,它们是同一类型的计算机辅助软件工程(CASE)工具。CASE 工具 把开发人员从繁重的劳动中解脱出来,大大地提高了天据库应用师统的开发质量。 PowerDesigner 是 Sybase 公司的天据库建模工具,使用它可以方便地对管理信息师统 进行分析设计, 它几乎包括了天据库模型设计的全过程。 利用 PowerDesigner 可以制作天据 流程图、概念天据模型、物理天据模型,可以学成多种客户端开发工具的应用程序,可为天 据仓库制作结构模型,还可以对社队设计模型进行控制。 PowerDesigner 是唯一结合了下列几种标准建模技术的建模工具套件: 使用 UML 的应 用程序建模、 业务流程建模和传统天据库建模技术, 从而为您提供了高度集成、 基于知识库、 可自定义、图形化、直观并易于使用的工具集。作为功能强大的全部集成的建模和设计解决

实验七 PowerDesigner数据库设计

实验七PowerDesigner数据库设计 一、目的与要求 1.掌握PowerDesigner建立概念模型的方法 2.掌握PowerDesigner建立物理模型的方法 3.掌握PowerDesigner生成数据库表的方法 4.掌握PowerDesigner生成报表的方法 二、实验准备 1.了解数据库设计的三个主要步骤概念设计、逻辑设计和物理设计要完成的工 作; 2.了解概念模型向逻辑模型转换的方法; 3.了解PowerDesigner软件的操作环境。 三、实验内容 根据对“大学教学管理数据库”进行分析和设计,建立其概念模型,转换成物理模型,并生成标准报表文件。记录操作步骤和模型图。 1.建立概念模型 打开PowerDesigner,选择菜单“new”,选择“Conceptual Data Model”类型的文件,新建模型。如图7-1所示。 图7-1 打开如图7-2的建立概念模型的主界面,根据分析所得该数据库至少有3个实体,学生,课程,教师及其多对多的联系类型。选择相应的按钮,添加到模型中,双击实体或联系,可以打开并填写实体名,属性,联系名和类型等,如图7-3所示。 注意:图7-2中并不是完整的模型,要根据自己的分析和设计画出完整的“大

学教学管理数据库”的概念模型。 图7-2 课程: 学生:

教师: 图7-3 完整的概念模型建立完毕,保存成.CDM的文件。 2.转换成物理模型 选择菜单“Tools”下“Generate Physical Data Model”,生成对应的物理模型,选择类型为SQL Server 2005支持的物理模型。如图7-4所示。

PowerDesigner教程

数据库建模工具PowerDesigner简介工具如下图: PowerDesigner系列产品提供了一个完整的建模解决方案,业务或系统分析人员,设计人员,数据库管理员DBA和开发人员可以对其裁剪以满足他们的特定的需要;而其模块化的结构为购买和扩展提供了极大的灵活性,从而使开发单位可以根据其项目的规模和范围来使用他们所需要的工具。PowerDesigner灵活的分析和设计特性允许使用一种结构化的方法有效地创建数据库或数据仓库,而不要求严格遵循一个特定的方法学。PowerDesigner提供了直观的符号表示,使数据库的创建更加容易,并使项目组内的交流和通讯标准化,同时能更加简单地向非技术人员展示数据库和应用的设计。 PowerDesigner不仅加速了开发的过程,也向最终用户提供了管理和访问项目的信息的一个有效的结构。它允许设计人员不仅创建和管理数据的结构,而且开发和利用数据的结构针对领先的开发工具环境快速地生成应用对象和数据敏感的组件。开发人员可以使用同样的物理数据模型查看数据库的结构和整理文

档,以及生成应用对象和在开发过程中使用的组件。应用对象生成有助于在整个开发生命周期提供更多的控制和更高的生产率。 PowerDesigner是一个功能强大而使用简单工具集,提供了一个复杂的交互环境,支持开发生命周期的所有阶段,从处理流程建模到对象和组件的生成。PowerDesigner产生的模型和应用可以不断地增长,适应并随着你的组织的变化而变化。 PowerDesigner包含六个紧密集成的模块,允许个人和开发组的成员以合算的方式最好地满足他们的需要。这六个模块是: PowerDesigner ProcessAnalyst,用于数据发现。 PowerDesigner DataArchitect,用于双层,交互式的数据库设计和构造。PowerDesigner AppModeler,用于物理建模和应用对象及数据敏感组件的生成。PowerDesigner MetaWorks,用于高级的团队开发,信息的共享和模型的管理。PowerDesigner WarehouseArchitect,用于数据仓库的设计和实现。PowerDesigner Viewer,用于以只读的、图形化方式访问整个企业的模型信息。 下面详细介绍PowerDesigner的六个模块: PowerDesigner ProcessAnalyst 用于数据分析或"数据发现"。ProcessAnalyst模型易于建立和维护,并可用在应用开发周期中确保所有参与人员之间顺畅的通讯。这个工具使用户能够描述复杂的处理模型以反映他们的数据库模型。通过表示这些在系统中的处理和描述它们交换的数据,使用ProcessAnalyst可以以一种更加自然的方式描述数据项。 PowerDesigner DataArchitect 用于两层的即概念层和物理层数据库设计和数据库构造。DataArchitect提供概念数据模型设计,自动的物理数据模型生成,非规范化的物理设计,针对多种数据库管理系统(DBMS)的数据库生成,开发工具的支持和高质量的文档特性。使用其逆向工程能力,设计人员可以得到一个数据库结构的"蓝图"可用于文档和维护数据库或移植到一个不同的DBMS。 PowerDesigner AppModeler 用于物理数据库的设计和应用对象及数据敏感组件的生成。通过提供完整的物理建模能力和利用那些模型进行开发的能力,AppModeler允许开发人员针对领先的开发环境,包括PowerBuilder,Visual Basic,Delphi2.0和Power++,快速地生成对象和组件。此外,AppModeler还可以生成用于创建数据驱动的Web站点的组件,使开发人员和设计人员同样可以从一个DBMS发布"动态"的数据。另外,

相关文档
最新文档