超大规模集成电路的设计方法 (2)

超大规模集成电路的设计方法 (2)
超大规模集成电路的设计方法 (2)

超大规模集成电路课程论文

题目:超大规模集成电路的设计方法

院系:xxxxxxxxx

专业:xxxxxxxxxxxx

年级:xxxxxxxxx

学号:xxxxxxxxxxx

姓名:xxxxxx

指导老师:xxxxxxxxxx

完成时间:xxxxxxxxxxx

超大规模集成电路的设计方法

作者:xxxx

指导老师:xxxxxxxxxxxxxxxxx

摘要:本文在概述超大规模集成电路设计步骤上,详细的论述了各种设计集成电路的方法,讨论了全定制法、标准单元设计方法、积木块设计方法、门阵列设计方法以及可编程逻辑器件设计方法的特点和适用范围。

关键词:集成电路;全制定法;标准单元设计法

Abstracts:On the basis of VLSI design method, this thesis detailed expounds the methods of design of integrated circuits, discusses the custom law, full customization method, standard unit design method ,building block design ,gate array method and design method of programmable logic devices 's characteristics and applicability.

Keywords: integrate circuit;full customization method;standard unit design method

1 引言

所谓集成电路就是将晶体管、电阻、电容、等各种电子元器件以相互联系的状态集成到半导体材料(主要是硅)或者绝缘体材料薄层片子上,再用一个管壳将其封装起来,构成一个完整的、具有一定功能的电路或系统。自1959年以来,集成电路技术发生了惊人的变化。第一个设计出来的集成电路只有四个晶体管,而三十年以后的今天,在1989年,一个芯片上集成的晶体管数目已超过一千万个。集成电路经历了SSI(小规模)、MSI(中规模)、LSI (大规模)、VLSL(超大规模)阶段,目前已开始进入特大规模集成电路ULSI(Ultra Large Scale Integration)阶段。

2 集成电路设计流程图

集成电路的设计过程:设计创意+仿真验证 集成电路芯片设计流程图框架如下:

图一 设计流程图

功能要求

行为设计(VHDL )

行为仿真

综合、优化---------网表

时序仿真

布局布线------版图

后仿真

设计完成

3 设计的基本过程 ·功能设计 ·逻辑和电路设计 ·版图设计

(1)系统功能设计

目标:实现系统功能,满足基本性能要求 过程:功能块划分,RTL 级描述,行为仿真

其中功能块划分的原则是既要使功能块之间的连线尽可能的少,接口清晰,又要功能各自独立设计。同时在功能块最大规模的选择时要考虑设计软件可处理的设计级别。 (2)逻辑和电路设计

概念:确定满足一定的逻辑或电路功能的由逻辑或电路单元组成的逻辑或电路结构。 过程:A ·数字电路:RTL 级描述

逻辑网表

逻辑模拟与验证,时序分析和优化 B ·模拟电路:尚无良好的综合软件

RTL 级仿真通过后,根据经验进行电路设计

图二

原理图输入

模拟单元库

电路模拟与验证

逻辑和电路设计的输出:网表(元件及其连接关系)或逻辑图、电路图

软件支持:逻辑综合、逻辑模拟、电路模拟、时序分析等软件(EDA软件系统中已集成)。

(3)版图设计

·概念:根据逻辑与电路功能和性质要求以及工艺水平要求来设计光刻用的掩膜版图,IC设计的最终输出。

·版图设计过程:由底向上过程

1>.布局:将模块安置在芯片的适当位置,满足一定目标函数。对级别最低的功能模块,是指根据连接关系,确定各单元的位置,级别高一些的,是分配较低级别功能块的位置,使芯片面积尽量小。

布线:根据电路的连接关系(连接表)在制定区域(面积、形状、层次)百分之百完成连线。布线均匀,优化连线长度、保证布通率。

2>.设计规则

IC设计与工艺制备之间的借口

制定目的:使芯片尺寸在尽可能小的前提下,避免线条宽度的偏差和不同层版套准偏差可能带来的问题,尽可能地提高电路制备的成品率。

什么是设计规则?考虑器件在正常工作的条件下,根据实际工艺水平(包括光刻特性、刻蚀能力、对准容差等)和成品率要求,给出的一组同一工艺及不同工艺层之间几何尺寸的限制,主要包括线宽、间距、覆盖、露头、凹口、面积等规则,分别给出它们的最小值,以防止掩膜图形的断裂、连接和一些不良物理效应的出现。

4 集成电路设计方法

1>.全定制设计方法用于通用的数字、模拟、数模混合集成电路。例如:通用微处理器、

存储器等。

全定制设计是指在电路设计中进行电路结构、电路参数的人工优化,完成电路设计后,人工设计版图中的各个器件和连线,以获得最佳性能(速度和功耗)和最小芯片尺寸。

特点:设计周期长,设计成本高,一般适用于对性能要求很高或批量很大的产品,如存储器、微处理器等通用集成电路。

特例:(1)对于性能要求较高的专用集成电路,通常当批量超过10万块时,也可以采用这种方法;(2)由于模拟、模数集成电路的设计软件尚不成熟,通常也采用这个方法。

2>.标准单元设计方法(SC方法)

概念:从标准单元库中调用实现经过精心设计的逻辑单元,并排列成行,行间留有可调整的布线通道,再按照功能要求将各内部单元以及输入/输出单元连接起来,形成所需的专用电路。

图三

芯片布局:芯片中心是单元区,输入/输出单元在芯片四周,基本单元具有等高不等宽的结构,布线通道区没有宽度的限制,利于实现优化布线。

标准单元设计的主要资源是标准单元库,单元库中单元电路的多少盒设计质量直接影响到设计能力。下面将对标准单元库及标准单元设计技术的特点进行介绍。标准单元库:标准单元库中的单元使用人工优化设计的,力求达到最小的面积和最好的性能,完成设计规则检查和电学验证。

标准单元库主要包括:与非门、或非门、触发器、锁存器、移位寄存器;加法器、乘法器、

除法器、算术运算单元、FIFO等较大规模单元;模拟单元模块:振荡器、比较器等。

标准单元库的来源:Foundry、第三方单元库提供商、EDA公司或自行简历。

图四

走线原则:电源和地线一般要求从单元左右边进出,信号端从上下进出;电源线放在单元外,在布线通道内,以便根据单元功率要求调整宽度,从各单元引出端口。

3>.积木块设计方法(BBL方法)

·布图特点:任意形状的单元(一般为矩形或“L”型)、任意位置、无布线通道。

·BBL单元:较大规模的功能块(如ROM、RAM、ALU或模拟电路单元等),单元可以用GA、SC、PLD或全定制方法设计

图五

4>.门阵列设计方法(GA方法)

·门阵列设计技术是一种母片半定制技术,它是在一个芯片上把结构和形状完全相同的

单元排列成阵列。

·每个单元内部含有若干器件,单元之间留有布线通道,通道宽度和位置固定,并预先完成接触孔和连线以外的芯片加工步骤,形成母片。然后根据不同的应用,设计出不同的接触孔板和金属连线版,在单元内部通过不同的连线使单元实现各种门的功能。再通过单元间连线实现所需电路功能。通过制作接触孔和金属连线掩膜版、工艺流片、封装、测试完成专用电路制造。

图六

·门阵列方法的设计特点:设计周期短,设计成本低,适合设计适当规模、中等性能、要求设计时间短、数量相对较少的电路。

·不足:设计灵活性低;门利用率低;芯片面积浪费。

5>. 可编程逻辑器件设计方法

·概念:用户通过生产商提供的通用器件自行进行现场编程和制造,得到所需的专用集成电路。

现场编程是指采用熔断丝、电写入等方法对已制备好的通用器件实现编程,得到所需的逻辑功能。

·特点:不需要制作掩膜版和进行微电子工艺流片,只需要采用相应的开发工具就可以完成设计,有些器件还可以多次擦除,易于系统和电路设计。与SC、BBL、GA设计方法相

比,设计周期短,设计开发费用低。

·可编程逻辑电路设计方法主要包括可编程逻辑器件设计(PLD)方法和现场可编程门阵列(FPGA)方法等。

·可编程逻辑器件分类:PROM、EPROM、EEPROM、PLA、PAL、GAL

·可编程逻辑阵列(PLA):实现数字逻辑

基本思想:组合逻辑电路可以转换成与-或逻辑

基本结构:

图七

由输入变量组成“与”矩阵,并将其输出馈入到“或”矩阵,设计人员通过对于-或矩阵进行编程处理,得到所需要的逻辑功能。

5 结论:集成电路使用晶体管组装成单芯片电路,把大量的微电晶体集成一个很小的芯片,由于成本低、性能高且能量消耗低,使得集成电路产业急速成长。整个电子工业,尤其是使用小型电子装备的,如计算机、电讯、生物科技、太空、国际贸易等,都离不开集成电路。

6 参考文献

[1]陈华丽,陆怀恩,等.一种提高谐波测量精度的新算法.继电器,2003,31(3):40-43. [2]祁才君,陈隆道,等.应用插值FFT算法精确估计电网谐波参数.浙江大学学报,2003,37(1).

[3]Matssin gularity Detection and Protecesing with Wavelets .IEEET ransaction

information Theory,1992,38(2)。

[4]甘学温,贾嵩,等。大规模集成电路原理与设计。

大规模集成电路应用

《大规模集成电路应用》论文姓名:谭宇 学号: 20104665 学院: 计算机与信息工程学院 专业班级: 自动化3班

大规模集成电路的体会 摘要:信息飞速发展时代,半导体、晶体管等已广泛应用,大规模集成电路也 成为必要性的技术,集成电路诞生以来,经历了小规模(SSI)、中规模(MSI)、大规模(LSI)的发展过程,目前已进入超大规模(VLSI)和甚大规模集成电路(ULSI)阶段,进入片上系统(SOC)的时代。 关键字:大规模集成;必要性;体会; 1 大规模集成的重要性 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 2 集成电路测试的必要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。 作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。 一款新的集成电路芯片被设计并生产出来,首先必须接受验证测试。在这一阶段,将会进行功能测试、以及全面的交流(AC)参数和直流(DC)参数的测试等,也可能会探测芯片的内部结构。通常会得出一个完整的验证测试信息,如芯片的工艺特征描述、电气特征(DC参数、AC参数、电容、漏电、温度等测试条件)、时序关系图等等。通过验证测试中的参数测试、功能性测试、结构性测试,可以诊断和修改系统设计、逻辑设计和物理设计中的设计错误,为最终规范(产品手册)测量出芯片的各种电气参数,并开发出测试流程。 当芯片的设计方案通过了验证测试,进入生产阶段之后,将利用前一阶段设

大规模集成电路设计答案(1)

`CMOS反相器电路图、版图、剖面图

CMOS的广泛使用,是由于解决了latch-up效应 Latch-up效应解释、原理、解决方法(略) 避免栅锁效应方法:用金掺杂或中子辐射,降低少数载流子寿命;深阱结构或高能量注入形成倒退阱;将器件制作于高掺杂衬底上的低掺杂外延层中;沟槽隔离。 在基体(substrate)上改变金属的掺杂,降低BJT的增益 ?避免source和drain的正向偏压 ?增加一个轻掺杂的layer在重掺杂的基体上,阻止侧面电流从垂直BJT到低阻基体上的通路 ?使用Guard ring: P+ ring环绕nmos并接GND;N+ ring环绕pmos 并接VDD,一方面可以降低Rwell和Rsub的阻值,另一方面可阻止栽子到达BJT的基极。如果可能,可再增加两圈ring。 ? Substrate contact和well contact应尽量靠近source,以降低Rwell和Rsub的阻值。?使nmos尽量靠近GND,pmos尽量靠近VDD,保持足够的距离在pmos 和nmos之间以降低引发SCR的可能 ?除在I/O处需采取防Latch up的措施外,凡接I/O的内部mos 也应圈guard ring。? I/O处尽量不使用pmos(nwell) 门级电路图(AOI221) AOI221=(AB+CD+E)’

伪NMOS: 伪NMOS的下拉网络和静态门的下拉网络相似,上拉网络是用一个PMOS管,且此管输入接地,因此PMOS管总是导通的。 动态电路: 动态电路用一个时钟控制的PMOS管取代了总是导通的PMOS管,克服了有比电路的缺点。动态电路速度快,输入负载小,切换时不存在竞争电流,而且动态电路没有静态功耗。 动态电路存在的根本性问题就是对输入单调性的要求。 多米诺电路: 多米诺电路由一级动态门和一级静态CMOS反相器构成。典型结构: 下拉网络+上拉预充值网络+反相器构成 过程就是充值+求值的过程 在多米诺电路中,所有门的预充、求值都可以用一个时钟控制。求值期间,动态门的输出单调下降,所以静态反相器的输出单调上升。多米诺电路是同时进行预充,但求值是串行的。逻辑功效(logic effort) 逻辑功效定义为门的输入电容与能够提供相同输出电流的反相器的输入电容的比值。也就是说逻辑功效表示某个门在产生输出电流时相比反相器的糟糕程度。逻辑功效不仅使我们能容易计算时延,它也向我们展示了如何确定晶体管的尺寸以优化路径中的延时。

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

集成电路培养方案.

西安邮电学院电子工程学院 本科集成电路设计与集成系统专业培养方案 学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士 一、专业培养指导思想 遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。 二、专业培养目标 本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。 三、学制与学分 学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

中南大学大规模集成电路考试及答案合集

中南大学大规模集成电路考试及答案合集

————————————————————————————————作者:————————————————————————————————日期:

---○---○ --- 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封 中南大学考试试卷 时间110分钟 题 号 一 二 三 合 计 得 分 评卷人 2013 ~2014 学年一学期大规模集成电路设计课程试题 32 学时,开卷,总分100分,占总评成绩70 % 一、填空题(本题40分,每个空格1分) 1. 所谓集成电路,是指采用 ,把一个电路中 所需的二极管、 、电阻、电容和电感等元件连同它们之间的电气连线在一块或几块很小的 或介质基片上一同制作出来,形成完整电路,然后 在一个管壳内,成为具有特定电路功能的微型结构。 2. 请写出以下与集成电路相关的专业术语缩写的英文全称: ASIC : ASSP : LSI : 3. 同时减小 、 与 ,可在保持漏源间电流不变的前提下减小器件面积,提高电路集成度。因此,缩短MOSFET 尺寸是VLSI 发展的趋势。 4. 大规模集成电路的设计流程包括:需求分析、 设计、体系结构设计、功能设计、 设计、可测性设计、 设计等。 5. 需求规格详细描述系统顾客或用户所关心的内容,包括 及必须满足的 。系统规格定义系统边界及系统与环境相互作用的信息,在这个规格中,系统以 的方式体现出来。 6. 根据硬件化的目的(高性能化、小型化、低功耗化、降低成本、知识产权保护等)、系统规模/性能、 、 、 等确定实现方法。 7. 体系结构设计的三要素为: 、 、 。 8. 高位综合是指从 描述自动生成 描述的过程。与人工设计相比,高位综合不仅可以尽可能地缩短 ,而且可以生成在面积、性能、功耗等方面表现出色的电路。 9. 逻辑综合就是将 变换为 ,根据 或 进行最优化,并进行特定工艺单元库 的过程。 10. 逻辑综合在推断RTL 部品时,将值的变化通过时钟触发的信号推断为 , 得 分 评卷人

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

超大规模集成电路发展趋势

超大规模集成电路的设计发展趋势;摘要:随着信息产品市场需求的增长,尤其通过通信、;关键字:超大规模集成电路发展趋势SOCIP复用技;1引言;集成电路是采用半导体制作工艺,在一块较小的单晶硅;2超大规模集成电路发展的概述;集成电路之所以获得如此迅速的发展,与数据处理系统;1.改进性能;在计算机中采用高密度的半导体集成电路是减少信号传;2.降低成本;用Lsl替换 超大规模集成电路的设计发展趋势 摘要:随着信息产品市场需求的增长,尤其通过通信、计算机与互联网、电子商务、数字视听等电子产品的需求增长,世界集成电路市场在其带动下高速增长。本文主要从半导体电子学与计算技术工程方面进行进行的诸多研究成果以及国际集成电路的发展现状和发展趋势反映其在国际上的重要地位。 关键字:超大规模集成电路发展趋势 SOC IP复用技术 1 引言 集成电路是采用半导体制作工艺,在一块较小的单晶硅片上制作许多晶体管及电阻器、电容器等元器件,并按照多层布线或隧道布线的方法将元器件组合成完整的电子电路,通常用IC(Integrated Circuit)表示。近廿多年来,半导体电子学的发展速度是十分惊人的。从分离元件发展为集成电路,从小规模集成电路发展为现代的超大规模集成电路。集成电路的性能差不多提高了3个数量级,而其成本却下降了同样的数量级。 2 超大规模集成电路发展的概述 集成电路之所以获得如此迅速的发展,与数据处理系统日益增长的各种要求是分不开的,也是半导体电子学与计算技术工程方面进行了许多研究工作的结果。这些工作可以概括为:(l)改进性能一尽可能减少信号处理的传递时间。(2)降低成本一从设计、制造、组装、冷却等各方而降低成本。(3)提高可靠性一减少失效率,增加检测与诊断的手段。(4)缩短研制/生产周期一加快从确定研制产品到产品可用之间的时间,使产品保持领先地位。(5)结构上的改进一半导体存储器的进展,推动了计算机体系的发展。 1.改进性能 在计算机中采用高密度的半导体集成电路是减少信号传递时间,提高机器性能的重要环节。因为在普通采用小规模集成电路(551)或中规模集成电路(MSI)的硬件结构中,信号传输与负载引起的延迟,与插件上的门的有效组装密度的平方根成正比,如图(1.1.1)。也就是说,组装延迟与每个门所需的有效面积的平方根成正比。因此将组装延迟减少一半的话,必须提高组装密度4倍。从 ssl/Msl发展为LSI/VLsl标志着芯片上元件的集成度得到了很大的提高。目

大规模集成电路一二章作业

郭小明2011060100010 大规模集成电路一二章作业 第一章作业 1、集成电路是哪一年有谁发明的? 答:1958年的Texas Instruments(美国德州仪器)公司的Jack Kilby 发明的,基于锗材料采用单管互连方法制作了一个简单的振荡器,可以使认为第一块雏形集成电路,1959年申请小型化电子电路的专利,并于2000年获得诺贝尔物理学奖。 2、诺伊斯对集成电路的主要贡献是什么? 答: 1959年提出的发明平面工艺技术和PN结隔离技术奠定了半导体集成电路的基础,美国仙童公司的Robert Noyce结合其同事Jean Hoerni发明的刻蚀氧化工艺,在电路上淀积金属薄层进行电路连接,使得复杂集成电路成为可能,并在1959年突出平面型晶体管之后,1961年推出用平面工艺制造出的第一块双极型集成电路,从此旋开了集成电路的新篇章。 1968年7月,Robert Noyce和Gordon Moore,离开Fairchild公司,建立Intel。 2000年,Jack Kilby,Robert Noyce获得Nobel物理奖。 3、MOS场效应管是哪年出现的? 1960年Jhon Atalla和Dawon Kahng发明了MOS场效应晶体管,1962年美国的RCA 公司研制出MOS场效应晶体管,并于1963年研制出第一块MOS集成电路。 4、集成电路的发展规律是由谁总结提出来的,具体规律是什么 摩尔定律是由英特尔(Intel)创始人之一戈登·摩尔(Gordon Moore)提出来的。其内容为:当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍。换言之,每一美元所能买到的电脑性能,将每隔18个月翻两倍以上。这一定律揭示了信息技术进步的速度。 5、叙述集成电路的层次设计步骤 层次化设计是大规模集成电路设计中最广泛使用的方法,可以简化设计的复杂性。层次化设计分为自顶向下和自底向上两种方法。层次设计奖设计目标分为不同的层次级别,针对设计对象的不同,划分为不同的设计区域,如器件(版图级)、电路级、门级、模块(寄存器级)、系统级。设计域的划分时针对不同的设计描述方式确定的,相当于抽象设计表示方法,整个层次分为行为域、结构域和几何域。 对于一个复杂的数字IC来说,自顶向下的设计方法,可以分成如下几个步骤完成(1)系统描述(行为级设计):讲用户需求转换为胸膛呢设计说明的过程,给出电路系统的具体要求,如速度、功耗、可靠性、采用的工艺、开发费用和开发周期等,作为电路系统设计过程的约束条件。

超大规模集成电路设计导论考试题及答案

1、MOS集成电路的加工包括哪些基本工艺?各有哪些方法和工序? 答:(1)热氧化工艺:包括干氧化法和湿氧化法; (2)扩散工艺:包括扩散法和离子注入法; (3)淀积工艺:化学淀积方法:1 外延生长法;2 热CVD法;3 等离子CVD法; 物理淀积方法:1 溅射法;2 真空蒸发法 (4)光刻工艺:工序包括:1 涂光刻胶;2 预烘干;3 掩膜对准;4 曝光;5 显影; 6 后烘干; 7 腐蚀; 8 去胶。 2、简述光刻工艺过程及作用。 答:(1)涂光刻胶:为了增加光刻胶和硅片之间的粘附性,防止显影时光刻胶的脱落,以及防止湿法腐蚀产生侧向腐蚀; (2)预烘干:以便除去光刻胶中的溶剂; (3)掩膜对准:以保证掩模板上的图形与硅片上已加工的各层图形套准; (4)曝光:使光刻胶获得与掩模图形相同的感光图片; (5)显影:将曝光后的硅片浸泡在显影液中,使正光刻胶的曝光部分和负光刻胶的未曝光部分被溶解掉; (6)后烘干:使残留在光刻胶中的有机溶剂完全挥发掉,提高光刻胶和硅片的粘接性及光刻胶的耐腐蚀性; (7)腐蚀:以复制在光刻胶上图形作为掩膜,对下层材料进行腐蚀,将图形复制到下层材料中; (8)去胶:除去光刻胶。 3、说明MOS晶体管的工作原理 答:MOS晶体管有四种工作状态: (1)截止状态:即源漏之间不加电压时,沟道各电场强度相等,沟道厚度均匀,S、D之间没有电流I ds=0; (2)线性工作状态:漏源之间加电压Vds时,漏端接正,源端接负,沟道厚度不再均匀,在D端电位升为V d,栅漏极电位差为

Vgs-Vtn,电场强度变弱,反型层变薄,并在沟道上产生由D到S的电场E ds,使得多数载 流子由S端流向D端形成电流I ds,它与V ds变化呈线性关 系:I ds=βn[(V gs-V tn)-V ds/2]V ds (3)饱和工作状态:Vs继续增大到V gs-V tn时,D端栅极与衬底不足以形成反型层,出现沟道夹断,电子运动到夹断点V gs-V ds=V tn时,便进入耗尽区,在漂移作用下, 电子被漏极高电位吸引过去,便形成饱和电流,沟道夹断后,(V gs-V tn)不变,I ds也不 变,即MOS工作进入饱和状态,I ds=V gs-V tn/R c (4)击穿状态:当Vds增加到一定极限时,由于电压过高,晶体管D端得PN结发生雪崩击穿,电流急剧增加,晶体管不能正常工作。 4、MOS反相器有哪些种类?说明每种反相器的特性。 答:(1)电阻负载反相器(E/R):该电路在集成电路中很少用,在分离原件中常用; (2)增强型负载反相器(E/E):这种反相器的漏端始终处于夹断状态; (3)耗尽型负载反相器(E/D):有较高的输出电平和较快的上升速度,其翻转时间短,电路工作速度快,是目前最常用的反相器;(4)CMOS反相器:1 静态功耗低;2 抗干扰能力强;3 电源利用率低;4 输入阻抗多,负载能力强。 5、简述Latch-up效应的产生原理及防治办法 答:产生原理:用CMOS晶体管的说明闸流效应 (1)在P阱内有一个纵向的NPN管,在P阱外有一个横向的NPN管,两个晶体管的集电极各驱动另一个晶体管的基极,构成正反馈回路; (2)P阱中纵向NPN管的电流放大倍数约为50到几百,P阱外的横向PNP管的电流放大倍数约为0.5到10; (3)R w和R s为基极的寄生电阻,阱电阻Rw的典型值为1K--10K欧姆,衬底电阻R s的典型值为500--700欧姆。 如果两个晶体管的电流放大倍数和基极寄生电阻Rw、Rs值太大,在外部噪声的影响下,很容易使输出端V o瞬间置于V ss之下约为0.7V,使得N+漏区(也有可能是N+]源区)向P

集成电路设计与集成系统专业完全解析

集成电路设计与集成系统专业 (本科、学制四年) Integrated Circuit Design & Integrated System 一、专业简介 集成电路设计和应用是多学科交叉高技术密集的学科,是现代电子信息科技的核心技术,是国家综合实力的重要标志。“集成电路设计和集成系统”是国家教育部2003年最新设立的本科专业之一。目前国内外对集成电路设计人才需求旺盛。本专业主要以培养高层次、应用型、复合型的芯片设计工程人才为目标,为计算机、通信、家电和其它电子信息领域培养既具有系统知识又具有集成电路设计基本知识,同时具有现代集成电路设计理念的新型研究人才和工程技术人员。 二、培养目标和培养范围 培养目标:本专业以集成电路设计能力为目标,培养掌握微电子和集成电路基本理论、现代集成电路设计专业基础知识和基本技能,掌握集成电路设计的EDA工具,熟悉电路、计算机、信号处理、通信等相关系统知识,能够满足集成电路设计领域及相关行业工作需求,从事集成电路设计和集成系统的研究、开发和应用。具有一定创新能力的适应现代化建设和当前急需的高级技术人才。 培养范围:本专业学生将具有以下方面的知识与能力: 1、扎实的数理基础和外语能力; 2、充实的社会科学知识,在文、史、哲、法、社会和政经等领域有一定的修养; 3、模拟、数字电路基本原理与设计的硬件应用能力; 4、信息系统的基本理论、原理与设计应用能力; 5、计算机和网络的基本原理及软硬件应用能力; 6、微电子及半导体器件基本理论知识; 7、集成电路基本理论与原理以及集成电路设计与制造基本知识; 8、集成电路设计、制造和EDA技术的基本知识与应用能力。 三、就业方向 集成电路以及电子整机设计及制造等领域从事科研、教学、科技开发、生产管理和行政管理等工作;继续深造攻

集成电路设计方法与设计流程

集成电路设计方法与设计流程 集成电路设计概述 集成电路设计描述 集成电路设计策略 基于硬件描述语言的集成电路设计方法 集成电路设计流程及EDA工具

1、正向设计与反向设计按功能和实现的先后顺序分

1、正向设计与反向设计 反向设计方法的应用领域越来越小 ?功能的多样化和专门化 ?集成度越来越高,十亿晶体管;保密措施 ?光学显微镜受限:日本奥林巴斯:0.35um;德国徕卡:0.18um;日本尼康:0.25um;德国蔡 司:0.13um,+UV共轭紫外线(14万$) ?反应离子蚀刻(RIE)机受限: Al互连,Cu互连 正向设计方法得到了越来越广泛的研究和应用?关键技术是综合技术,主要依赖于包括高层次综合、逻辑综合、版图综合在内的各个层次的综合方法和工具的发展,而高层次综合是首要环节.

2、自顶向下和自底向上设计 从整体和局部的先后顺序上分

Top-Down设计 Top-Down流程在EDA工具支持下逐步成为IC 主要的设计方法 ?从确定电路系统的设计指标开始 ?将系统划分为各个功能模块,每个模块 由更细化的行为描述表达 ?自系统级、寄存器传输级、逻辑级直到 物理级逐级细化并逐级验证其功能和性 能

Top-Down设计关键技术 系统级功能验证技术 不必考虑电路的实现结构和实现方法,这是对付设计复杂性日益增加的重要技术 需要开发系统级模型及建立模型库 这些模型与实现工艺无关,仅用于系统级和RTL 级模拟 Cadence的SPW:行为算法级设计工具 Synopsys COSSAP:DSP & communication design environment,其中的通讯库、滤波器库等 都是系统级模型库成功的例子 目前存在的可能: 缺少可综合的系统级库资源 通过行为级综合工具把功能级描述转换成RTL级描述,速度最快可达到传统人工方式的20倍,但 工具尚未实用化

中南大学大规模集成电路试卷及答案合集

---○---○ --- ………… 评卷密封线 ……………… 密封线不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 时间110分钟2013 ~2014 学年一学期大规模集成电路设计课程试题 32 学时,开卷,总分100分,占总评成绩70 % 一、填空题(本题40分,每个空格1分) 1. 所谓集成电路,是指采用 ,把一个电路中 所需的二极管、 、电阻、电容和电感等元件连同它们之间的电气连线在一块或几块很小的 或介质基片上一同制作出来,形成完整电路,然后 在一个管壳,成为具有特定电路功能的微型结构。 2. 请写出以下与集成电路相关的专业术语缩写的英文全称: ASIC : ASSP : LSI : 3. 同时减小 、 与 ,可在保持漏源间电流不变的前提下减小器件面积,提高电路集成度。因此,缩短MOSFET 尺寸是VLSI 发展的趋势。 4. 大规模集成电路的设计流程包括:需求分析、 设计、体系结构设计、 功能设计、 设计、可测性设计、 设计等。 5. 需求规格详细描述系统顾客或用户所关心的容,包括 及必须满足的 。系统规格定义系统边界及系统与环境相互作用的信息,在这个规格中,系统以 的方式体现出来。 6. 根据硬件化的目的(高性能化、小型化、低功耗化、降低成本、知识产权保护等)、系统规模/性能、 、 、 等确定实现方法。 7. 体系结构设计的三要素为: 、 、 。 8. 高位综合是指从 描述自动生成 描述的过程。与人工设计相比,高位综合不仅可以尽可能地缩短 ,而且可以生成在面积、性能、功耗等方面表现出色的电路。 9. 逻辑综合就是将 变换为 ,根据 或 进行最优化,并进行特定工艺单元库 的过程。 10. 逻辑综合在推断RTL 部品时,将值的变化通过时钟触发的信号推断为 ,

相关文档
最新文档