数电课程设计医院病人紧急呼叫系统

数电课程设计医院病人紧急呼叫系统
数电课程设计医院病人紧急呼叫系统

数字电路课程设计

一、设计总体思路 (2)

2.基本原理与框图 (2)

3.总电路图 (4)

二、单元电路设计 (5)

1.信号锁存电路 (5)

2. 优先编码电路 (7)

3.显示电路 (9)

4. 逻辑控制及复位电路 (9)

三、附录 (12)

一、设计总体思路

1.设计内容及要求

根据要求设计一个医院病人紧急呼叫系统。具体要求如下:

1、当病人紧急呼叫时,能显示病人的编号;

2、根据病人病情设置优先级别。当有多人呼叫时,病情严重着优先;

3、医务人员处理当前最高级别病人的呼叫后,系统按优先级别显示其它呼叫病人的编号。

2.基本原理与框图

系统可由信号呼叫锁存、优先编码、显示电路及逻辑控制清除电路等几部分组成。

系统首先通过不同的按钮开关接受不同的呼叫信号,并将其对应信号锁存在与之相对应的D触发器中,然后将这些呼叫信号传到8路优先编码器,编码器再根据触发器中信号

优先级的高低依次对这些信号进行编码,然后将当前最高优先级别的信号显示出来。

医务人员根据当前显示病人编号进行处理,处理一个按一下控制开关,则可清除当前处理的病人并显示下个次要优先级别的病人,清除的原理就是:每当按一下复位按钮就会产生一个上升沿脉冲,使计数器置数,并将当前显示的数通过计数器输入到译码器中,与之对应输出一个低电平信号最为触发器清零信号。此时,显示器中显示的是次级编码信号。同理将依次处理完毕,最后全部处理完后将显示零。

系统工作的原理图框图

3.总电路图

根据系统需要及电路的框图可用EWB仿真软件做出总电路图:

医院病人紧急呼叫系统总电路图

二、单元电路设计

1.信号锁存电路

此系统所用D触发器为74LS74,当预置端及清除端均为高电平且当CLK上升沿时将输入信号D锁存在Q中的功能,Q=D。这里我们所使用的为Q端,首先使Q为高电平,当输入一个上升沿脉冲时Q变为低电平。

74LS74功能表如下:

1 1 ↑0 0 1

1 1 0 x 保持

74LS74锁存部分电路图如下:

此电路使用了2个74LS74双D触发器4个输入端分别代表4个病人,是先把D端置高电平,当给了时钟CP后,Q 端出高电平1,Q端出低电平0,此时的Q低电平可直接作用于优先编码器的编码输入端,使之输出对应的二进制数据。而当译码器给了清除端CLR信号0后,Q端出1,利用这点可以对信号进行清除,显示其它病人的信号。

2. 优先编码电路

此系统所用编码器为74LS148优先编码器,根据D触发器传来的信号进行编码产生一个地址码再经过反相器就可以在显示电路中显示是哪个病人需要护理了。

74LS148编码器的真值表如下:

74LS148编码部分电路图如下:

此电路使用了一个74LS148优先编码器,在E1=0电路正常工作状态下,允许1-4当中同时有几个输入端为低电平,即有编码输入信号。其中4至1的优先权依次降低,对应的A2、A1、A0三个输出对应的二进制代码。

利用这一点,可以将触发器中传来的信号来控制74LS48七段显示器译码器的消隐端,当无病人给信号时,则显示零。

3.显示电路

根据优先编码器74LS148的地址码可以选取接入译码器74LS48,输出a、b、c、d、e、f、g和七段共阴极显示器的相应端口相连。

一般显示器元件同时具有译码器74LS48功能,只需将优先编码器74LS148的地址码输出的信号经过反相器后直接与显示器给出的四个端口相连即可。

4. 逻辑控制及复位电路

此系统是利用医务人员控制的复位开关产生一个上升沿启动74LS160使优先编码器输出的地址码保持输出,将这些地址码输入到74LS138译码,从而去控制对应D触发器的清零端,达到复位的目的。

74LS160计数器的真值表如下:

1 1 0 ××××××保持

1 1 ×0 ×××××保持

1 1 1 1 ↑××××计数

74LS138译码器的真值表如下:

逻辑控制及复位清零部分电路图如下:

74LS160为异步清零计数器,即R CL '端输入低电平,不受CP 控制,输出端立即全部为低电平。

74LS160还具有同步预置功能,在 R CL '端无效时,

D LOA '端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入DCBA ,即所谓“同步”预置功能。此系统利用的是74LS160置数功能。

74LS138 为3 线-8 线译码器,当一个选通端G1为高电平,另两个选通端G2A 和G2B 为低电平时,可将地址端(A 、B 、C )的二进制编码在一个对应的输出端以低电平译出。

如上图,74LS148优先编码器的地址码通过74LS160的ABCD 输入端输入,当按下清除开关产生一个上升沿CP 送到

CLK,利用74LS160的同步预置功能,把74LS160的输出端置成与输入端一样的编码,送至74LS138译码器译码译出与地址码相对应的低电平接至74LS74D触发器的清除端,从而实现信号的逻辑控制与清除。

三、附录

元器件清单:

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

plc课程设计病床呼叫系统

目录 第1章控制工艺流程分析 (1) 1.1病床呼叫系统控制过程描述 (1) 1.2. 病床呼叫系统控制工艺分析 (1) 第二章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.4系统结线图设计 (3) 第3章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制程序时序图设计 (5) 3.3 控制程序设计思路 (7) 第4章监控系统设计 (8) 4.1 PLC与上位监控软件通讯 (8) 4.2 上位监控系统组态设计及实现效果 (9) 第5章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 第6章课程设计心得 (11) 参考文献 (12) 附录 (13)

第1章控制工艺流程分析 1.1病床呼叫系统控制过程描述 患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。临床救助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传送给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。病床呼叫系统要求及时、准确、可靠,简便可行,利于推广,其性能的优劣直接关系到病员的安危,因此历来受到各大医院的普遍重视。 本实验应用可编程控制,以一种以PLC为核心的智能化病床呼叫系统。该系统利用PLC特殊的I/O接口,是系统构成更加简单,充分展示了它的特色和应用前景。该系统具有呼叫、灯光报警、振铃、先是排队、优先权设定等功能,可满足医院对病房管理和护理的要求。 1.2. 病床呼叫系统控制工艺分析 1.共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s 内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

病床呼叫系统控制课程设计..

目录 第1 章控制工艺流程分析 (1) 1.1 病床呼叫系统控制过程描述 (1) 1.2 病床呼叫系统控制工艺分析 (1) 第2 章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.2 控制方法分析 (2) 2.3 I/O分配 (3) 2.4 系统结线图设计 (4) 第3 章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制系统时序图 (5) 3.3 控制程序设计思路 (7) 第4 章监控系统设计 (8) 4.1 PLC 与上位监控软件通讯 (8) 4.2 上位监控系统组态设计 (8) 4.3 实现的效果 (8) 第5 章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 课程设计心得 (11) 参考文献 (12) 附录 (13)

第 1 章控制工艺流程分析 1.1 病床呼叫系统控制过程描述 本课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯复位。 1.2 病床呼叫系统控制工艺分析 病床呼叫系统有主机、从机等两部分组成。从机(呼叫源)即病床按钮,主机包括PLC及显示和监护系统。主机中PLC工作方式为循环扫描方式,在系统程序控制下,PLC顺序读入输入端口各呼叫源的状态,并且不断地循环扫描。一旦有呼叫按钮按下,PLC立即响应,通过设置的程序实现对系统的控制。 ①拟定控制系统设计的技术要求; ②选择电气传动形式和电动机、电磁阀等执行元件; ③选定PLC型号; ④编制PLC的输入/输出端子接线图; ⑤根据系统设计的要求编写软件规格说明书,然后在用相应编程语言进行程序设计; ⑥设计操作台、电气柜及非标准电器元件; ⑦编写设计说明书和使用说明书。 1.共3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。 6.主机监控系统显示后,出现相应的声、光报警指示,以便提示医护人员尽快赶到现场。

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

相关文档
最新文档