SystemVerilog语言简介

SystemVerilog语言简介
SystemVerilog语言简介

SystemVerilog语言简介

SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。SystemVerilog由Accellera开发,它主要定位在芯片的实现和验证流程上,并为系统级的设计流程提供了强大的连接能力。下面我们从几个方面对SystemVerilog 所作的增强进行简要的介绍,期望能够通过这个介绍使大家对S ystemVerilog有一个概括性的了解。

1. 接口(Interface)

Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个设计中的许多模块往往具有相同的端口定义,在Ver ilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。

SystemVerilog提供了一个新的、高层抽象的模块连接,这个

连接被称为接口(Interface)。接口在关键字interface和endint erface之间定义,它独立于模块。接口在模块中就像一个单一的端口一样使用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以将PCI总线的所有信号绑定在一起组成一个接口。通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。下面是一个接口的使用实例:

实际上,SystemVerilog的接口不仅仅可以表示信号的绑定和互连。由于SystemVerilog的接口中可以包含参数、常量、变量、结构、函数、任务、initial块、always块以及连续赋值语句,所以SystemVerilog的接口还可以包含内建的协议检查以及被使用该接口的模块所共用的功能。

2. 全局声明和语句

在Verilog中,除了一个模块可以作为模块实例引用其他模块外,并不存在一个全局空间。另外,Verilog允许任意数目的顶层模块,因此会产生毫无关联的层次树。

SystemVeriog增加了一个被称为$root的隐含的顶级层次。任何在模块边界之外的声明和语句都存在于$root空间中。所有的模块,无论它处于哪一个设计层次,都可以引用$root中声明

的名字。这样,如果某些变量、函数或其它信息被设计中的所有模块共享,那么我们就可以将它们作为全局声明和语句。全局声明和语句的一个使用实例如下:

3. 时间单位和精度

在Verilog中,表示时间的值使用一个数来表示,而不带有任何时间单位。例如:

从这一句中我们无法判断5代表的是5ns? 5ps? 还是其他。Verilog的时间单位和精度是作为每一个模块的属性,并使用编译器指令`timescale来设置。使用这种方法具有固有的缺陷,因为编译器指令的执行依赖于源代码的编译顺序,编译器总是将它遇到的最后一个`timescale设置的时间单位和精度作为之后的标准。那么,假如有些模块之前没有使用`timescale设置时间单位和精度,这就有可能出现同一个源代码的不同仿真会出现不同结果的情况。

SystemVerilog为了控制时间单位加入了两个重要的增强。首先,时间值可以显式地指定一个单位。时间单位可以是s、ms、ns、ps或fs。时间单位作为时间值的后缀出现。例如:

其次,SystemVerilog允许使用新的关键字(timeunits和ti meprecision)来指定时间单位和精度。这些声明可以在任何模块中指定,同时也可以在$root空间中全局指定。时间单位和精度必须是10的幂,范围可以从s到fs。例如:

4. 抽象数据类型

Verilog提供了面向底层硬件的线网、寄存器和变量数据类型。这些类型代表了4态逻辑值,通常用来在底层上对硬件进行建模和验证。线网数据类型还具有多个强度级别,并且能够为多驱动源的线网提供解析功能。

SystemVerilog包括了C语言的char和int数据类型,它允许在Verilog模型和验证程序中直接使用C和C++代码。Verilog PLI不再需要集成总线功能模型、算法模型和C函数。SystemVe rilog还为Verilog加入了几个新的数据类型,以便能够在更抽象的层次上建模硬件。

●char:一个两态的有符号变量,它与C语言中的char

数据类型相同,可以是一个8位整数(ASCII)或short i

nt(Unicode);

●int:一个两态的有符号变量,它与C语言中的int数

据类型相似,但被精确地定义成32位;

●shortint:一个两态的有符号变量,被精确地定义成1

6位;

●longint:一个两态的有符号变量,它与C语言中的lo

ng数据类型相似,但被精确地定义成64位;

●byte:一个两态的有符号变量,被精确地定义成8位;

●bit:一个两态的可以具有任意向量宽度的无符号数据

类型,可以用来替代Verilog的reg数据类型;

●logic:一个四态的可以具有任意向量宽度的无符号数

据类型,可以用来替代Verilog的线网或reg数据类型,但

具有某些限制;

●shortreal:一个两态的单精度浮点变量,与C语言的

float类型相同;

●void:表示没有值,可以定义成一个函数的返回值,

与C语言中的含义相同。

SystemVerilog的bit和其他数据类型允许用户使用两态逻辑对设计建模,这种方法对仿真性能更有效率。由于Verilog语言没有两态数据类型,因此许多仿真器都通过将这种功能作为仿真器的一个选项提供。这些选项不能够在所有的仿真器之间移植,而且在需要时用三态或四态逻辑的设计中强制使用两态逻辑还具有副作用。SystemVerilog的bit数据类型能够极大改进仿真器的性能,同时在需要的时候仍然可以使用三态或四态逻辑。通过使用具有确定行为的数据类型来代替专有的仿真器选项,两态模型能够在所有的SystemVerilog仿真器间移植。

SystemVerilog的logic数据类型比Verilog的线网和寄存器数据类型更加灵活,它使得在任何抽象层次上建模硬件都更加容

易。logic类型能够以下面的任何一种方法赋值:

●通过任意数目的过程赋值语句赋值,能够替代Verilog

的reg类型;

●通过单一的连续赋值语句赋值,能够有限制地替代Ve

rilog的wire类型;

●连接到一个单一原语的输出,能够有限制地替代Veril

og的wire类型;

由于logic数据类型能够被用来替代Verilog的reg或wire(具有限制),这就使得能够在一个更高的抽象层次上建模,并且随着设计的不断深入能够加入一些设计细节而不必改变数据类型的声明。logic数据类型不会表示信号的强度也不具有线逻辑的解析功能,因此logic数据类型比Verilog的wire类型更能有效地仿真和综合。

5. 有符号和无符号限定符

缺省情况下,Verilog net和reg数据类型是无符号类型,in teger类型是一个有符号类型。Verilog-2001标准允许使用signe d关键字将无符号类型显式地声明成有符号类型。SystemVerilog 加入了相似的能力,它可以通过unsigned关键字将有符号数据类型显式地声明成有无符号数据类型。例如:

值得注意的是unsigned在Verilog中是一个保留字,但并没

有被Verilog标准使用。

6. 用户定义的类型

Verilog不允许用户定义新的数据类型。SystemVerilog通过使用typedef提供了一种方法来定义新的数据类型,这一点与C 语言类似。用户定义的类型可以与其它数据类型一样地使用在声明当中。例如:

一个用户定义的数据类型可以在它的定义之前使用,只要它首先在空的typedef中说明,例如:

7. 枚举类型

在Verilog语言中不存在枚举类型。标识符必须被显式地声明成一个线网、变量或参数并被赋值。SystemVerilog允许使用类似于C的语法产生枚举类型。一个枚举类型具有一组被命名的值。缺省情况下,值从初始值0开始递增,但是我们可以显式地指定初始值。枚举类型的例子如下:

我们还可以使用typedef为枚举类型指定一个名字,从而允许这个枚举类型可以在许多地方使用。例如:

8. 结构体和联合体

在Verilog语言中不存在结构体或联合体,而结构体或联合体在将几个声明组合在一起的时候非常有用。SystemVerilog增加了结构体和联合体,它们的声明语法类似于C。

结构体或联合体中的域可以通过在变量名和域名字之间插入句点(.)来引用:

我们可以使用typedef为结构体或联合体的定义指定一个名字。

一个结构体可以使用值的级联来完整地赋值,例如:

结构体可以作为一个整体传递到函数或任务,也可以从函数或任务传递过来,也可以作为模块端口进行传递。

9. 数组

在Verilog中可以声明一个数组类型,reg和线网类型还可以具有一个向量宽度。在一个对象名前面声明的尺寸表示向量的宽度,在一个对象名后面声明的尺寸表示数组的深度。例如:

在SystemVerilog中我们使用不同的术语表示数组:使用“压缩数组(packed array)”这一术语表示在对象名前声明尺寸的数

组;使用“非压缩数组(unpacked array)”这一术语表示在对象名后面声明尺寸的数组。压缩数组可以由下面的数据类型组成:bit、logic、reg、wire以及其它的线网类型。无论是压缩数组还是非压缩数组都可以声明成多维的尺寸。

非压缩尺寸在压缩尺寸之前引用,这就允许将整个压缩数组作为一个单一的元素进行引用。在上面的例子中,d[1]引用非压缩数组的一个单一元素,这个元素是一个包含4个字节的数组。

10. 在为命名的块中声明

Verilog允许变量在一个命名的begin-end或fork-join语句组中声明。相对于语句组来说,这些变量是本地的,但它们可以被层次化地引用。在SystemVerilog中,既可以在命名的块中也可以在未命名的块中声明。在未命名的块中,不能够使用层次名来访问变量。所有的变量类型,包括用户定义的类型、枚举类型、结构体和联合体都可以在begin-end或fork-join语句组中声明。

11. 常量

在Verilog中有三种特性类型的常量:parameter、specpar am和localparam。而在SystemVerilog中,允许使用const关键字声明常量。例如:

12. 可重定义的数据类型

SystemVerilog扩展了Verilog的parameter,使其可以包含类型。这个强大的功能使得一个模块中的数据类型在模块的每一个实例中重新定义。例如:

13. 模块端口连接

在Verilog中,可以连接到模块端口的数据类型被限制为线网类型以及变量类型中的reg、integer和time。而在SystemVer ilog中则去除了这种限制,任何数据类型都可以通过端口传递,包括实数、数组和结构体。

14. 字母值

在Verilog中,当指定或赋值字母值的时候存在一些限制。而SystemVerilog则为字母值如何指定作了下面的增强:

●一个字母值的所有位均可以使用`0、`1、`z或`x作相

同的填充。这就允许填充一个任意宽度的向量,而无需显

式地指定向量的宽度,例如:

●一个字符串可以赋值成一个字符数组,象C语言一样

加入一个空结束符。如果尺寸不同,它象C中一样进行左

调整,例如:

●加入了几个特殊的串字符:

v:垂直TAB

f:换页

a:响铃

x02:用十六进制数来表示一个ASCII字符

数组可以使用类似于C初始化的语法赋值成字符值,但它还允许复制操作符。括号的嵌套必须精确地匹配数组

的维数(这一点与C不同),例如:

15. 强制类型转换

Verilog不能将一个值强制转换成不同的数据类型。SystemV erilog通过使用’操作符提供了数据类型的强制转换功能。这种强制转换可以转换成任意类型,包括用户定义的类型。例如:

一个值还可以通过在强制转换操作符前指定一个10进制数来转换成不同的向量宽度,例如:

也可以将结果转换成有符号值,例如:

16. 操作符

Verilog没有C语言的递增(++)和递减(--)操作符。而S ystemVerilog加入了几个新的操作符:

●++和--:递增和递减操作符;

●+=、-=、*=、/=、%=、&=、^=、|=、<<=、>>=、<<

<=和>>>=赋值操作符;

17. 唯一性和优先级决定语句

在Verilog中,如果没有遵循严格的编码风格,它的if-else 和case语句会在RTL仿真和RTL综合间具有不一致的结果。如果没有正确使用full_case和parallel_case综合指令还会引起一些其它的错误。

SystemVerilog能够显式地指明什么时候一条决定语句的分支是唯一的,或者什么时候需要计算优先级。我们可以在if或c ase关键字之前使用unique或requires关键字。这些关键字可以向仿真器、综合编译器、以及其它工具指示我们期望的硬件类型。工具使用这些信息来检查if或case语句是否正确建模了期望的逻辑。例如,如果使用unique限定了一个决定语句,那么在不希望的case值出现的时候仿真器就能够发布一个警告信息。

18. 底部检测的循环

Verilog包含for、while和repeat循环,这几个循环都是在循环的起始处检测循环条件。SystemVerilog加入了一个do-whil e循环,这种循环在执行语句的结尾处检测循环条件。

19. 跳转语句

在语句的执行过程中,C语言提供了几种方式来跳转到新的语句,包括:return、break、continue和goto。在Verilog中除了通过使用disable语句跳转到语句组的尾部外,没有提供任何其它跳转语句。使用disable语句执行中止和继续功能要求加入块的名字,并且会产生不直观的代码。SystemVerilog加入了C 语言的break和continue关键字,这两个关键字不要求使用块名字。另外,SystemVerilog还加入了一个return关键字,它可以用来在任何执行点上退出一个任务或函数。

●break:退出一个循环,与C语言相同;

●continue:跳转到一个循环的尾部,与C语言相同;

●return表达式:退出一个函数;

●return:退出一个任务或void类型的函数。

SystemVerilog没有包含C语言中的goto语句。

20. 块名字和语句标签

在Verilog中,我们可以通过在begin或fork关键字之后指定名字来为begin-end或fork-jion语句指定名字。这个指定的名字代表整个语句块。SystemVerilog还允许在end或jion关键字之后指定一个匹配的块名字。这种机制很容易将end或jion与对应的begin或fork联系起来,尤其是在一个长的块或嵌套的块

中。块结尾处的名字是可选的,但如果使用的话,它必须与块起始处的名字相同。例如:

SystemVerilog还允许像C语言一样为单个语句设置标签。语句标签放置在语句的前面,用来标识这条语句。例如:

21. 对事件控制的增强

Verilog使用@标记来控制基于特定事件的执行流,SystemV erilog增强了@事件控制。

●有条件的事件控制

@标记的一个基本应用就是推断一个具有使能输入的锁存器。下面的例子演示了一个锁存器建模的基本风格。

这种编码风格对仿真来说是效率低下的,因为即使在使能输入无效的时候,数据输入的每次改变都会触发事件控制。

SystemVerilog在事件控制中加入了一个iff条件。只有iff条件为真的条件下,事件控制才会被触发。通过将使能判断移入到事件控制里面,使得只有在锁存器输出能够改变的时候事件控制才会被触发。例如:

●事件控制中的表达式

Verilog允许在@事件控制列表中使用表达式,例如:

在第一个例子中,是当操作数发生改变的时候还是只有当运算结果发生改变的时候才会触发事件控制?在第二个例子中,是当memory的地址发生变化的时候还是只有当memory的值发生变化的时候才会触发事件控制?当@事件控制中包含表达式的

《语言学纲要》名词解释_叶蜚声

《语言学纲要》名词解释 导言 4.交际工具:人类交际活动所使用的工具。语言是人类最重要的交际工具。此外,身势等伴随动作是非语 言的交际工具;旗语之类是建立在语言、文字基础上的辅助性交际工具;文字是建立在语言基础之上的一种最重要的辅助交际工具; 5.思维:是认识现实世界时的一种动脑筋的过程,也指动脑筋时进行比较、分析、综合以认识现实的能力。 是人脑能动地反映客观现实的机能和过程。根据思维活动的不同形态可分为三种类型:直观动作思

维、形象思维、抽象思维。 6.社会:指生活在一个共同的地域中、说同一种语言、有共同的风俗习惯和文化习惯的人类社会的共同体, 即一般所说的部落、部族和民族。与此相关联的现象就是社会现象。 7.社会现象:指那些与人类共同体的一切活动——产生、存在和发展密切联系的现象。 取渐变,不能爆发突变。 8.语言发展的不平衡性:指语言结构体系发展变化是不平衡的,即词汇、语义、语音、语法的发展速度是 不一样的。与社会联系最直接的词汇、语义变化最快,语音次之,语法最慢。 9.表层结构和深层结构:表层结构和深层结构相对,表层结构赋予句子以一定的语音形式,即通过语音形

式所表达出来的那种结构,表层结构是由深层结构转换而显现的;深层结构是赋予句子以一定的语义解释的那种结构。 10.组合关系:符号和符号组合起来的关系。符号和符号的组合形成语言的结构。 11.聚合关系:在链条的某一环节上能够相互替换的符号具有某种相同的作用,它们自然聚集成群。它们彼 此的关系称为聚合关系。 23.音高:声音的高低,是由发音体形状及振动频率快慢决定的。 24.音重:声音的强弱,它取决于声波振幅的大小,而振幅的大小与发音时用力大小有关。 25.音质:也叫音色,指声音的品质或个性。

语言学纲要期末复习材料(叶蜚声 徐通锵编)-精华

语言学概论 导言部分 中国、印度、希腊-罗马是语言学的三大发源地 我国传统小学包括文字、音韵、训诂三门分支学科。 语言交际过程可分“编码-发送-传递-接收-解码”五个阶段。 20世纪初,瑞士语言学家索绪尔在《普通语言学教程》中提出,存在于语言社团中每个人头脑中的共同的语言形式结构是语言学研究的真正对象。语言学从此成为一门现代科学。 第一章语言的功能 信息传递功能 语言的社会功能 人际互动功能 语言的功能语言的思维功能 说话中枢(布洛卡区)-左半球前部-失语症(丧失说话能力,听得懂) 书写中枢-靠近布洛卡区-失写症(失去写字绘画等精细动作能力) 人类大脑特有的语言功能分区视觉性语言中枢-左半球后部-失读症(无法阅读理解) 听觉型语言中枢(韦尼克区)-左半球后部-感觉性失语症(听得到但听不懂,也表达不清) 为什么说语言是人类社会信息传递第一性的,最基本的手段? ①人类传递信息,进行交际和交流思想,除了使用语言外,还可以使用文字、旗语、红绿灯、电报代码、数学符号以及身势、表情等。在一定场合使用,可以弥补语言的一些不足,但是这些交际工具使用范围有限,有的仅用于特定的范围。 ②最重要的是,这些交际工具,都离不开语言,都是在语言的基础上产生的,是辅助语言进行交际的,没有语言,这些手段的存在没有任何意义。 A.语言是第一性的,文字是第二性的,文字是对语言的再编码系统,只有几千年历史。 B.旗语之类的则是建立在语言或文字基础之上的再编码形式。 C.身势所能传递信息十分有限,还可能被错误理解。 加 第二章语言是符号系统 1、为什么说语言是符号?语言符号和一般符号有什么不同? 符号是由形式和意义两个部分构成的结合体。说语言也是一种符号,是因为语言具有符号的一切特点: ①语言之所以是一种符合,就是因为它能代表或指称现实现象。 ②语言具有符号的一般特点,也有形式和意义两个方面:语言符号的第一性的形式是人类发出的声音,语言符号的意义是对它所指代的一类心理现实的概括。 ③同一般符号一样,语言符号的音义结合是社会约定俗成的。(语言符号的任意性) 在所有符号中,语言符号是最重要、最复杂的一种。语言符号是有声音形式和意义内容构成的音义结

SystemVerilog

SystemVerilog 语言简介 SystemVerilog 是一种硬件描述和验证语言(HDVL),它基于 IEEE 1364-2001 Verilog 硬件描述语言 (HDL) 并对其进行了扩展, , 包括扩充了 C 语言数据类型、结构、压缩和非压缩数组、 接口、断 言等等, 这些都使得 SystemVerilog 在一个更高的抽象层次上提高了 设计建模的能力。SystemVerilog 由 Accellera 开发,它主要定位在 芯片的实现和验证流程上, 并为系统级的设计流程提供了强大的连接 能力。 下面我们从几个方面对 SystemVerilog 所作的增强进行简要的 介绍, 期望能够通过这个介绍使大家对 SystemVerilog 有一个概括性 的了解。 1. 接口(Interface) Verilog 模块之间的连接是通过模块端口进行的。为了给组成设 计的各个模块定义端口, 我们必须对期望的硬件设计有一个详细的认 识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一 旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个 设计中的许多模块往往具有相同的端口定义,在 Verilog 中,我们必 须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。 SystemVerilog 提供了一个新的、高层抽象的模块连接,这个连 接被称为接口(Interface)。接口在关键字 interface 和 endinterface 之间定义,它独立于模块。接口在模块中就像一个单一的端口一样使 用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以 将 PCI 总线的所有信号绑定在一起组成一个接口。通过使用接口, 我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。 随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信 号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用 该接口的所有模块中反映出来,而无需更改每一个模块。 下面是一个 接口的使用实例: interface chip_bus; // 定义接口 wire read_request, read_grant; wire [7:0] address, data; endinterface: chip_bus
更多免费资料下载请进: https://www.360docs.net/doc/b12396350.html, 中国最大的免费课件资料库

《语言学纲要》试题库剖析

绪论、第一章、第二章 一、名词解释 1.语言学 2.语言 5.文言文 6.符号 7.符号形式 8.符号的任意性 9.语言符号 10.组合关系 11.聚合关系 二、填空 1.__________、________ 、________________ 具有悠久的历史文化传统,是语言学的三大发源地。 2.__________ 是我国古代的书面语,用它写成的文章称为__________。 3.__________ 、__________ 、__________ 是我国传统的语文学。 4.研究语言的结构,主要是研究 __________、____________ 、__________ 三个部分。 5.运用语言传递信息的过程,可以分为________、________ 、_________ 、__________ 、__________ 五个阶段。 6.语言是人类社会的______________,而且也是思维的______________。 7.在一定条件下,身体姿势等伴随动作还可以离开语言独立完成交际任务。例如汉民族点头表示____________,摇头表示____________,送别时挥手表示 ______________,____________表示欢迎,咬牙切齿表示_____________,手舞足蹈表示____________。 8.人的大脑分左右两半球,大脑的半球控制语言活动,右半球掌管不需要语言的感性____________。 9.汉语的“哥哥”、“弟弟”,英语用________________表示,汉语的“舅舅、姨父、姑父、叔叔、伯伯”,英语用______________表示。 10.英语可以直接用数词修饰名词,汉语数词修饰名词一般要加上一个 _____。 11.儿童最早的智力活动就是学习_________。 12.任何符号,都是由和两个方面构成的。 13.一个符号,如果没有_______,就失去了存在的必要,如果没有_______,我们就无法感知,符号也就失去了存在的物质基础。 14.语言符号是_________和_________的统一体,声音是语言符号的______。

语言学纲要期末复习重点整理

1、语言学的三大发源地 中国、印度、希腊—罗马。 最初的语言学是是为了给遗留下来的政治、哲学、历史、宗教、文学等古典文献作注解,而不是探索语言的规律。这时候的语言学还不是一门独立的学科。2、语言符号的特点 语言符号具有任意性和线条性的特点。 (1)任意性是指语言符号的声音形式和意义内容的结合是任意的,二者没有必然联系。比如:粤方言中读“人”,读作[zen],新会话读作[ng? n],开平话有的读作[ng? n] 、[ngin],台山话读作[ngin],闽南话读作[n^ng],但是表达的意义是一样的。 (2)线条性指的是语言符号的能指在时间上呈线性排列。在交际过程中,语言符号只能一个跟着一个按时间顺序出现,形成延续的线性序列,绝不可能在同一时间说出两个符号。如:“庄”的语音形式就是由zh-u-a-ng四个音素依次出现而形成的。 3、组合关系和聚合关系 (1)组合关系是指构成线性序列的语言成分之间的结构关系。即两个或两个以上同一性质的结构单位(例如音位与音位、词与词等等),按照线性的顺序可以前后连接起来的横向关系。 (2)聚合关系是指同一结构内相同位置上可以互相替换的语言成分之间的纵向关系。即在语言的组合结构的某一个位置上能够互相替换的几个具有相同作用(组合能力)的单位符号之间的关系。 (3)不仅各级语言符号处在这两种根本的关系之中,构造符号的音位和意义同

样也处于这两种关系之中。 4、语音四要素 (1)音高:声音的高低,取决于发音体(人的发音体是声带)的振动频率。音高在语言中的作用:构成声调和语调。 (2)音强:声音的强弱,取决于发音体振幅的大小。对于语音而言,就是由发音时用力的大小决定的。音强在语言中的作用:构成语调、轻重音。 (3)音长:声音的长短,取决于发音体振动持续时间的长短。音长变化在许多语言中有区别意义的作用。音长在语言中的作用:构成长短音、轻音。如英语中的pool[pu:l](水池)与pull[pul](拖、拉)。 (4)音质:一种声音区别于其他声音的个性或特征。它决定于声波振动的形式。音质的不同主要与三个方面的因素有关:发音体、发音方法和共鸣器的形状有关。 5、音位、语流音变、音位变体 (1)一种语言中具有区别词的语音形式作用的最小的语音单位,是针对某种语言而言的。音位是从语音的社会属性的角度划分的单位。 (2)确立音位的原则 ①对立原则:凡是处于对立关系中并能区别词的语音形式的几个音素归纳为不同的音位。例如:在汉语普通话中:[p][p‘][t][t‘]几个音素是对立关系确立的不同的音位; ②互补原则:处于互补关系的音素不能起到区别词的语音形式的作用,可以归纳为一个音位。例如:英语中的[p]和[p‘],汉语中的[a][A][ɑ]等 ③相似原则:但并不是处于互补关系中的音素都可以归纳为一个音位,还要考虑语音相似原则:例如:在普通话中,[t]只出现在音节的开头,[?]只出现在音节末

system verilog教程

SystemVerilog Tutorials 下面的手册会帮助你了解一些SystemVerilog中最重要的新特点。手册还提供了一些代码样本和例子使你可以对语言有更好"感觉"。这些辅导假设你们已经了解了一些Verilog语言。如果没有,你可以先去看看Verilog设计者指南(V erilog Designer’s Guide)。 * Data types * RTL design * Interfaces * Clocking * Assertion-based verification * Classes * Testbench automation and constraints * The Direct Programming Interface (DPI) SystemVerilog 的数据类型 这个手册将描述Systemverilog新引进的数据类型。他们大多数都是可以综合的,并且可以使RTL级描述更易于理解和书写。 整型和实型 SystemVerilog引进了几种新的数据类型。C语言程序员会熟悉其中的大多数。引进新的数据类型构思是这样的,如果C语言和SystemVerilog有相同的数据类型可以使C语言算法模型更容易的转化为SystemVerilog模型。 Verilog的变量类型有四态:既是0,1,X,Z。SystemVerilog引进了新的两态数据类型,每一位只可以是0或是1。当你不需要使用的X和Z值时,譬如在写Testbench和做为for语句的循环变量。使用两态变量的RTL级模型,可以使模拟器更有效率。并且使用得当的话将不会对综合结果产生影响。 二态整型 类型描述例子 Bit user-defined size bit [3:0] a_nibble; Byte 8 bits, unsigned byte a, b; Shortint 16 bits, signed shortint c, d; Int 32 bits, signed int i,j; Longint 64 bits, signed longint lword;

SystemVerilog语言简介(doc 26页)

SystemVerilog语言简介(doc 26页)

SystemVerilog语言简介 SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。SystemVerilog由Accellera 开发,它主要定位在芯片的实现和验证流程上,并为系统级的设计流程提供了强大的连接能力。下面我们从几个方面对SystemV erilog所作的增强进行简要的介绍,期望能够通过这个介绍使大家对SystemVerilog有一个概括性的了解。 1. 接口(Interface) Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。 SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称为接口(Interface)。接口在关键字interface和e ndinterface之间定义,它独立于模块。接口在模块中就像一个

单一的端口一样使用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以将PCI总线的所有信号绑定在一起组成一个接口。通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。下面是一个接口的使用实例:

语言学纲要名词解释.

语言学纲要名词解释 导言 1.语言学:以语言作为专门研究对象的一门独立学科,其主要任务是研究语言的性质、结构和功能,通过考察语言及其应用的现象,来解释语言存在和发展的规律。 2.语文学:指19世纪历史比较语言学之前的语言研究,这时的语言研究尚未独立,语言学作为其他学科的附庸而存在,语言研究的主要目的是为了阅读古籍和语言教学,从而为统治者治理国家或为其他学科的研究服务。 3.小学:中国传统的语文学,由于汉语书面语使用的文字——汉字的特点,中国传统语言研究抓住汉字,分析它的形体,探求它的古代读音和意义,形成了统称“小学”的文字、音韵、训诂之学,也就是中国传统的语文学。 4.理论语言学:也称普通语言学,是关于语言的一般规律的理论研究。理论语言学的水平在很大程度上决定于具体语言学的研究成果。 5.共时语言学:以同时的、静态的分析方法,研究语言相对静止的状态,描写分析语言在某一时期、某一阶段的状况,是从横的方面研究语言。 6.历时语言学:研究语言发展的历史,观察一种语言的各个结构要素在不同发展阶段的历史演变,是从纵向的方面研究语言的历史。涉及到一种语言的叫做历时语言学,如历史语音学,历史词汇学,历史语法学等;涉及到多种语言和方言的叫做历史比较语言学。 7.索绪尔:瑞士语言学家,现代语言学的奠基人,结构主义语言学的开创者,著有《普通语言学教程》,被人们誉为“现代语言学之父”。他提出语言是符号体系;符号由能指所指两部分构成,这两部分的关系是任意的,一旦形成以后又是约定性的;符号系统内部存在“组合关系”和“聚合关系”;区分了“语言”和“言语”;“内部语言学”和“外部语言学”;“历时语言学”和“共时语言学”。他的学说标志着现代语言学的开始,在不同的程度上影响着20世纪的各个语言学派。 8.布龙菲尔德:美国描写语言学派的代表人物,它的主要贡献是将语言学从哲学理念建设成为一门科学。早期的著作是出版于1914年的《语言研究导论》,立足于心理学来阐释语言、刺激—反应论来解释语言的产生和理解的过程。1933年他的最有影响的著作《语言论》出版。这时,他已经从构造心理学转到行为心理学。他在该书中提出了美国结构语言学派研究语言的基本原则和描写语言结构的总框架。 9.乔姆斯基:转换生成语法的创始人,著有《句法结构》。最出他用结构主义的方法研究希伯来语,后来发现这种方法有很大的局限性,转而探索新的方法,逐步建立了转换生成语法,1957年出版的《句法结构》就是这一新方法的标志。这种分析方法风靡全世界,冲垮了结构语言学的支配地位,因而被人们成为“乔姆斯基革命”,对语言学的发展方向产成了巨大影响。 10.韩礼德:英国语言学家,功能主义语言学派的代表人物,继承了以弗斯为首的伦敦学派的基本理论,并吸收布拉格学派和哥本哈根学派的某些观点的基础上发展起来和创立了系统功能语法,著有《普通语言学教程》《语法功能论》等著作。他从人类学和社会学的角度出发研究语言与社会的关系,把语言看做“社会符号”,其包括功能系统、层次和语境等概念,在语法中认为系统中存在连锁系统和选择系统,在功能语法中他用功能的配置来解释语法结构,提出了概念功能、人际功能、语篇功能。20世纪70年代后,他将注意力转移到语言与社会学、符号学的关系上,对社会语言学进行研究。 11.赵元任:字宣仲,江苏常州人,生于天津。赵元任是“中国语言学之父”。他将科学运用于语言学研究的结果:用自然科学中的基本概念说明语言问题;用自然科学的先进成果记录和分析语音;把自然科学中的研究方法引入语言学;引入科学的描述事物的方式以及解决问题的程序等等。中国的传统语言学在他和同时代的一批学者的努力下,逐步走向现代化。 12.历史比较语言学:出现于18世纪末19世纪初,中心在德国。该学派采用历史比较的方法,通过语音和词形的比较研究语言的发展和演变,发现了语言之间的亲缘关系并建立了语言的谱系分类。历史比较语言学的兴起,是语言学成为一门独立的学科。经过一个多世纪的探索研究,弄清了世界上很多语言的同源关系,建立了世界语言的谱系分类,为语言学的发展做了很大贡献。历史比较语言学也有局限:他强调了语言的历史比较,忽视了语言的共时研究;孤立地研究语言单位,缺乏对语言系统性的研究。 13.结构主义语言学:1916年索绪尔的《普通语言学教程》标志着结构主义语言学的诞生。索绪尔语言理论的核心是语言是符号系统,突破了历史比较语言学的局限,开拓了语言研究的新领域,给语言学带来革命性的变化。

语言学纲要 期末复习资料(题库)

语言学概论试题(1) 一、填空15% 1、语言中最单纯、最常用、最原始和最能产的词是根词。 2、语言是人类最重要的交际工具,文字是最重要的辅助交际工具。 3、我国古代学者为读懂古书而建立的训诂学、文字学、音韵学组成了我国的语文学,通称为“小学”。 4、英语属于印欧语系的日耳曼语族的西部语支。 5、语音可以从生理角度分析它的产生方式,从物理角度分析它的表现形式(传递过程),从社会功能角度分析它的功能作用。 6、是否能够独立(自由)运用,是区分词和语素的根本特点。 7、现代大多数国家的拼音文字的字母,大多直接来源于拉丁字母。 8、具有不同功能的三种最基本的语法单位是语素、词、句子。 9、语言发展的主要特点是渐变性和不平衡性。 10、我国宪法(1982年)第19条明确规定“国家推广全国通用的普通话”。 二、选择题10% 1 、中国的传统语文学研究的薄弱环节是(D ) A、文字学B、语音学C、词汇学D、语法学 2、汉语属于(B ) A、屈折语B、词根语C、多式综合语D、粘着语 3、一种语言中数量最少的是(B ) A、音素B、音位C、语素D、音节 4、文字的前身是(C ) A、结绳记事B、手势C、图画记事D、实物记事 5、派生词中包含(B ) A、词尾B、词根C、虚词D、根词 6、语音和语义结合的最小的语言单位是(C ) A、音素B、义素C、语素D、音位 7、汉语单词“忽然”出现的位置是(C ) A、主语位置B、谓语位置C、状语位置D、定语位置 8、以下各种语言变体中,属于社会方言的是 (D ) A、土话B、客家话C、客套话D、黑话 9、下列语素中属于自由语素的是(C ) A、初B、视C、人D、民 10、在语言结构的某一环节上能够互相替换,具有某种相同作用的各个单位之间所形成的关系叫(D ) A、转换关系B、组合关系C、层级关系D、聚合关系 三、名词解释20% 1、专语语言学: 以具体语言作为研究对象的语言学。 2、组合关系: 指两个以上相连续的语言符号组合而成的线性关系。 3、语流音变:语流中的某些音由于相互影响而发生临时性的变化,这种变化就叫语流音变。 4、语义场: 由具有某些共同义素的一群词类聚而成的场。 5、语法范畴: 把同一性质的语法意义综合和概括所形成的语法意义的类别 四、试以国际音标标出下列各词的读音10% 1、优秀 2、维持 3、宏观 4、精神 5、离开 五、用义素分析法分析下列各组词9% 瞻仰[+用眼+往一定方向+崇敬地] 1、{

Systemverilog的一个牛人总结

转一篇Systemverilog的一个牛人总结 (2012-12-12 16:47:06) 转载▼ 标签: 分类:Dreamywork systemverilog 验证 面向对象 杂谈 Systemverilog 数据类型 l 合并数组和非合并数组 1)合并数组: 存储方式是连续的,中间没有闲置空间。 例如,32bit的寄存器,可以看成是4个8bit的数据,或者也可以看成是1个32bit的数据。 表示方法: 数组大小和位,必须在变量名前指定,数组大小必须是【msb:lsb】 Bit[3:0] [7:0] bytes ; 2)二维数组和合并数组识别: 合并数组: bit [3:0] [7:0] arrys; 大小在变量名前面放得,且降序 二维数组: int arrays[0:7] [0:3] ; 大小在变量名后面放得,可降序可升序 位宽在变量名前面,用于识别合并和非合并数组,位宽在后面,用于识别数组中元素个数。 3)非合并数组 一般仿真器存放数组元素时使用32bit的字边界,byte、shortint、int都放在一个字中。 非合并数组:字的地位存放变量,高位不用。 表示方法: Bit [7:0] bytes; 4)合并数组和非合并数组的选择 (1)当需要以字节或字为单位对存储单元操作。 (2)当需要等待数组中变化的,则必须使用合并数组。例如测试平台需要通过存储器数据的变化来唤醒,需要用到@,@只能用于标量或者合并数组。

Bit[3:0] [7:0] barray[3] ; 表示合并数组,合并数组中有3个元素,每个元素时8bit,4个元素可以组成合并数组 可以使用barry[0]作敏感信号。 l 动态数组 随机事物不确定大小。 使用方法:数组在开始是空的,同时使用new[]来分配空间,在new[n]指定元素的个数。 Int dyn[]; Dyn = new[5]; //分配5个元素空间 Dyn.delete() ; //释放空间 l 队列 在队列中增加或删除元素比较方便。 l 关联数组 当你需要建立一个超大容量的数组。关联数组,存放稀疏矩阵中的值。 表示方法: 采用在方括号中放置数据类型的形式声明: Bit[63:0] assoc[bit[63:0]]; l 常量: 1)Verilog 推荐使用文本宏。 好处:全局作用范围,且可以用于位段或类型定义 缺点:当需要局部常量时,可能引起冲突。 2)Parameter 作用范围仅限于单个module 3)Systemverilog: 参数可以在多个模块里共同使用,可以用typedef 代替单调乏味的宏。 过程语句 l 可以在for循环中定义变量,作用范围仅在循环内部 for(int i=0;i<10;i++) array[i] =i; l 任务、函数及void函数 1)区别:

语言学纲要习题答案

导言 一,名词解释(分,每小题分) ,研究语言的本质,语言的结构和发展规律. .指我国传统的语文学,包括文字学,音韵学,训诂学三方面的内容. ,个别语言学,以一种(或几种有联系的)语言为研究对象,研究某一种语言的结构. ,静态分析的方法,研究语言相对静止的状态,描写分析语言在某一个时期,某一个阶段的状况,是从横向的方面研究语言. ,动态的角度研究语言发展的历史,观察一种语言的各个结构要素在不同发展阶段的历史演变,是从纵向的方面研究语言的历史. ,探讨人类语言的共同规律,是在具体语言学基础上建立起来的,下面又分普通语音学,普通语法学,普通词汇学等分支学科. 二,填空题(分,每空分) .中国印度古希腊罗马 .文字学音韵学训诂学 .语音词汇和语义语法 .编码发送传递接收 .历时共时历史描写 .历史比较 .《语言论》 .索绪尔 三,问答题(分,每小题分) ①研究对象不同:古代的语言学主要以书面语为主要研究材料,不重视口头语言的研究,而今天的语言学则十分重视口语研究,如制定语言规范,确立共同语的各方面标准等,都要依据口语的研究成果; ②研究目的不同:古代语言学研究语言,主要是给政治,哲学,宗教,历史,文学方面的经典著作作注解,比如我国古代的语文学主要就是围绕阅读先秦经典著作的需要来研究文言的,而现代语言学的研究目的主要是分析语言的结构,以此探讨语言发展的共同规律. 正因为有这些差别,所以古代的语言学还不是独立的学科,处于附庸地位,而现代语言学已经发展成为一门独立的学科,随着现代科学的发展又产生了许多边沿性学科. 人类语言交际的过程,实际上就是信息的传递与接收问题,可分为编码,发送,传递,接收,解码五个阶段.编码就是发话人利用词语组织语句;发送就是把思维成果变成话语,通过发音器官表达出来;传递就是通过空气振动形成声波,把话语传达给受话人;接收是受话人利用听觉器官感知对方所说的话;解码则经过大脑的思维把声波还原成语言,理解对方话语的含义,从而完成信息传递接收.如果受话人收到语言信息有所反馈,那么上述五个阶段则又重复一遍,只是发话人与受话人调换了. (举例说明略,可结合分析任举一个句子作说明.) 语言是伴随着人类一起出现的,是人类社会生活必不可少的,所以人类很早就注意到了语言的重要性,很早就注意研究语言,所以语言学是古老的,但语言学直到世纪下半叶,产生了历史比较语言学,后来又建立了语言学的各个部门,语言研究才发展成为一门独立的学科,同其它学科相比,语言学的确是十分年轻的.语言是社会现象,与社会的政治,经济,文化,历史等密切相关,而语言的发生又与物理,生理,心理等学科密切相关,而现代社会语言与语言的信息处理(如机器翻译,语码转换等)又涉及到数学,计算机科学,所以语言学既与社会科学有密切的联系,又与自然科学有密切的联系.正因为如此,随着语言学与别的学科的交融,又产生了许多新的语言学分支学科,如社会语言学,心理语言学,统计语言学,话语语言学,数理语言学,宇宙语言学等等,这些也体现了语言学是一门年轻的学科. 语言学的作用主要表现在以下几个方面:①学习语言文字是掌握科学技术,提高文化水平的基础,而要学好用好语言,就必须利用语言学的研究成果;②制定语言文字的有关政策,制定语言规范,都要在对语言进行充分研究的基础上进行,而语言学的研究成果正好可以作指导;③新兴技术的出现扩大了语言学的应用范围.至于个人,同样可以利用语言学的成果,比如学习一种语言或方言,最好的办法是找到所学语言同自己母语的各方面的对应规律,这样可以收到事半功倍的效果,这就需要利用语言学的研究成果. (结合实际部分可以根据自己的学习,工作或生活经历举例) 语言学首先可以分为理论语言学和应用语言学,一般所说的语言学,主要是指理论语言学.根据研究对象的不同,理论语言学又分普通语言学和专语语言学(具体语言学).普通语言学以人类所有的语言为研究对象,探讨人类语言的共同规律,是在具体语言学基础上建立起来的,下面又分普通语音学,普通语法学,普通词汇学等分支学科.专语语言学以个别的,具体的语言为研究对象.探讨研究某一种语言的规律.从是静态研究还是研究语言的历史看,又分历时语言学和共时语言学:历时语言学研究具体语言的发展历史,是纵向研究,比如汉语史研究,共时语言学研究具体语言在某一时代的状态(相对静止的状态),规律,对之进行客观的描述,是横向研究.如描写语言学,又分描写语音学,描写语汇学,描写语法学等分支学科,现代汉语就是共时语言学. .在历史语言学产生以前,语言学

《语言学纲要》(叶蜚声版)复习资料

《语言学纲要》(叶蜚声、徐通锵版)复习资料 一、语言学纲要期末复习要注意的几个问题 复习语言学概论课程,总的要求是:弄清楚基本概念的准确含义,抓住重点,全面复习。“语言学概论”是语言学方面的一门基础课,重点讲授语言学的基本概念、基础知识和基本理论,是我们今后进一步学习本科阶段的其它语言类课程和撰写语言方向毕业论文的理论基础,在整个专业中具有非常重要的地位。现在这门课的学习即将结束,要进行复习考试,弄清楚基本概念的准确含义自然是一个最基本的要求;这一点做到了,基础知识和基本理论的掌握也就不会有什么大的障碍。复习的时候应抓住重点,就是要根据我们的考核说明规定的重点进行复习;但“抓住重点”不是“只学重点”,而是要通过重点问题的复习达到全面复习的目的,不要自己从主观愿望出发来划定复习范围。复习的总要求可以从以下几个方面来理解: 第一,吃透内容要点 复习不但要掌握比较重大的要点,弄清楚各个章节的框架结构,对各个重点内容的要点也要非常熟悉,每个要点要求都能展开阐述,比如基本词汇的特点,什么是全民常用,什么是稳固,什么是有构词能力,这些特点相互之间是怎样影响的,都必须能结合实例说出个所以然来。如果复习不全面不细致,理解问题不深入透彻,遇到这样的问题恐怕就只能是干巴巴的条款了,那样是很难取得优异成绩的,也不符合本课程掌握基础知识、基本概念、基本理论的“三基”的要求。 第二,掌握常用的名词概念 专门就名词概念提出复习要求,这是因为两个方面的原因:一方面,名词概念是一门学科体系的重要组成部分,是学科知识的基础部分,学科体系在很大程度上是通过一系列的名词概念串连起来的。另一方面,期末试题名词解释题目还是一个考核重点,而且还占有非常重的分量。不但如此,而且在填空、选择、问答题里都要涉及重要的名词概念,至于占试题主要内容的分析题,更是离不开名词概念,否则就无从下手分析。例如:合成词、词与词的组合方式、词组的层次分析等,都涉及到名词概念。 名词概念分两个层次要求:一个层次是对名词概念要求理解其内涵,能结合问题进行分析、解释,能举例说明;一个层次名词概念主要是一些涉及到下位概念的名词,要求理解其内涵,能结合语言实际进行类别分析辨认。我们在中央电大编辑部发行的《期末复习指导》中列出了一些重点名词,复习可参考这部分内容,我们今天也重点介绍一下。 第三,弄清基本理论知识并能灵活地加以运用。 本课程是一门理论性很强的课程,因此,复习首先要注意把基本理论吃透。所谓吃透,就是能理解其内容,能灵活运用理论来分析问题,而不是死记硬背。当然,我们强调灵活运用,不是反对有关的记忆,一些基本知识,课程内容的框架,还是应该搞清楚,这样才能做到成竹在胸,所以复习时对所学的知识还要进行必要的梳理,比如像国际音标和元音辅音的发音特点,就必须强化记忆。 第四,加强实践练习。 本课程理论性比较强,内容比较抽象,理解、把握起来也有一定难度。要真正吃透理论

system verilog 类的继承

类的继承 SystemVerilog支持单继承(类似Java,而不像C++). 有一个让SystemVerilog支持多重继承的提案[1], 但是短期内不会看到曙光。 目录 ? 1 什么是继承? ? 2 有什么好处 ? 3 开-关定律 ? 4 参考资料 什么是继承? 继承是面向对象编程范式的关键概念。类用来创建用户自定义类型. 继承使得用户可以用非常安全,非侵入的方式对类的行为进行增加或者修改。 使用继承可以定义子类型,在子类型中增加新的方法和数据。被继承的类一般称为基类(SystemVerilog中的超类),得到的新类一般称为引申类(或子类)。 为什么继承如此重要? 因为它使得复用得以实现。让我们通过实例来说明. 假设我们对一个图像模块进行建模. 对其中一部分,我们写了一个代表颜色的类: class Color; byte unsigned red; byte unsigned green; byte unsigned blue; function new(byte unsigned red_=255, byte unsigned green_=255, byte unsigned blue_=255); red=red_; green=green_; blue=blue_; endfunction:new function mix(Color other); function brighter(float percent); task draw_pixel(int x,int y);

Now现在它的下一个版本希望能够处理部分透明的图像。为此,我们给Color类增加了一个alpha成员,。alpha代表图像的透明度。alpha越大,图像的像素越结实(不透明)。'0'代表完全透明,使得图片的背景全部可见。因此,我们修改color类如下: class Color; byte unsigned red; byte unsigned green; byte unsigned blue; byte unsigned alpha; function new(byte unsigned red_=255, byte unsigned green_=255, byte unsigned blue_=255, byte unsigned alpha_=255); red=red_; green=green_; blue=blue_; alpha=alpha_; endfunction:new function mix(Color other);// new implementation -- would depend on // alpha values for both the colors function brighter(float percent);// original implementation good enough task draw_pixel(int x,int y);// new implementation // Other functions ... endclass:Color 注意,即使许多代码是由之前版本的Color类复制而来,我们还是需要单独维护两个版本的代码。这时继承就可以发挥作用,使用继承,我们可以简单的从原始的Color类继承出新类,来添加alpha成员。 class ColorWithAlpha extends Color; byte unsigned alpha; function new(byte unsigned red_=255, byte unsigned green_=255, byte unsigned blue_=255, byte unsigned alpha_=255);

语言学纲要各章名词解释

导言 *中国、印度、希腊、罗马具有悠久的历史文化传统,是语言学的三大发源地之。 *形成了统称“小学”的文字、音韵、训诂的我国传统语文学。 *语言本身的构造很复杂,对它的研究大致可以分为语言、词汇和语义、语法三个部分。 *运用语言交际的过程是瞬间的事情,但却包含着一系列复杂的问题。如果借用信息论的术语来说,这一过程大体上可以分为“编码—发送—传递—接收—解码”五个阶段。 第一章 *语言研究的任务就是要把说话中反复使用的材料和规则找出来,把那些隐藏在无数话语中的坚守不见尾的语言找出来,使它的整体和每一片鳞甲都清清楚楚地展现在人们的面前。这当然是复杂细致的任务。 *除了语言之外,人们还可以使用其他的交际工具:文字,旗语,红绿灯,电报代码,数字符号,化学公式等。人们在使用语言进行交际的时候,不但要动嘴,而且要面部的表情、手的动作、乃至整个躯体的姿态等非语言的东西也都会参加进来。(非语言:手、眼神) *思维能力是全人类共同的,语言的各组民族不同的。 *为什么语言是人类最重要的交际工具? 1、语言是最灵活的,最方便的,信息量最大的,所以是最重要的。语言是组成社会不可少的因素,人与人之间的联系要靠语言来维持。 2、语言是为满足人类交际的组要而产生的,其他动物是没有的。 3、其他的交际工具是建立在一定的语言基础上的语言或语言成分的代用品,而且都有特殊的服务领域。 第二章 *符号:是一个社会全体成员共同约定用来表示某种意义的记号标记。符号应该具备的条件:约定俗成、重复使用。语言符号的特点是:任意性和线条性。 *语言是一种分层装置,这种装置靠组合和替换来运转。语言必须是一种经济有效而又富于弹性和灵活性的装置。这装置的重要特点就是分层。 *语言的底层是一套音位,一种语言的音位的数目虽然只有几十个却能构成数目众多的组合,这些组合为语言符号准备了形式的部分。语言的上层是音义结合的符号和符号的序列,这一层又氛围若干级。第一级是语素,意义在这里被装进形式的口袋,成了音义结合的最小的符号。第二级是由语素构成的词,第三级是由词构成的句子。词和句子都是符号的序列。 *组合关系和聚合关系是语言两种最根本关系。 第三章 *音素:是从音节中划分出来的最小的语音单位。(从音质角度划分出来) *音标:记录音素的标写符号。国际音标:是国际语言协会于1888年制定的并开始使用的一套记录音素的标写符号。 *语音的发音---传递---感知三个环节,分别对应于语音的生理---物理---心理三个方面的属性,由发音学---音响学---听觉语音学三门学科加以研究,标志着人们研究语言的的进展过程。三个环节,三个方面,三门学科的研究都是自然科学和语言学的交界领域,都要利用大量的实验手段。生理、物理、心理的研究可以说是语音的自然属性的研究。 *元音和辅音的区别是什么? 1、气流是否受阻,发元音气流不受阻,辅音受阻。 2、发音器官紧张状况。发元音时各器官的各部分都保持均衡紧张,发辅音时只有形成阻碍的那一部分特别紧张。 3、气流强弱不同,发元音气流弱,发辅音气流强。 *音位:是具体语言中有区别的语音形式的作用的最小语音单位。音位的分析对拼音文字的

语言学纲要期末考试必考重点

1、语言学的三大发源地: 中国、印度、希腊—罗马 2、五个阶段: 编码—发送—传递—接收—解码 3、语言的功能: 社会功能、思维功能 4、怎样理解语言符号的系统系? 答: 语言系统的结构: 层级体系二层装置。下层是音位,上层语素、词、句子。运转机制: 组合关系,聚合关系。 5、语言符号的特征: 任意性、线条性 6、掌握语言需要: 抽象思维能力和发音能力 7、语言的研究: 答: 从自然属性出发: 针对所有人类语言的语音研究,属于语音学研究。从社会属性出发,针对语音在某一个具体语言的系统中起什么作用的研究,属于音系学的研究, 8、"语言的四要素:

音高、音强、音长、音质 9、音位: 答:音位是从社会功能的角度划分出来的语音单位,它是特定的语言或方言中具有区别意义作用的最小语音单位。 音位变体答: 同属于一个音位的不同音素就叫做“音位变体”。音位变体又可分为“条件变体”和“自由变体”。条件变体是指出现的语音环境各不相同而又同属一个音位的两个或几个音素。自由变体是指可以在同一语音环境里出现而又不能区别意义的两个或几个音素。 1 0、"语法规则的表现形式: 组合规则、聚合规则 11、"语法单位的四级单位: 语素、词、词组、句子 12、"词缀与词根的位置关系: 答: 前缀: 粘附在词根前面的词缀。后缀: 粘附在词根后面的词缀。中缀: 插入词根中的词缀。例如: 第一中的“第“小刀子中的子 13、"组合的递归性和开放性?(简答题)

答: “递归”指的是相同的规则可以在一个结构里重复使用。语言中句子的格式和长度各不相同,而且抽象也不能使新的规则不断地衍生,这样就需要让一种规则多次起作用。语法规则实际上就是一种有限手段可以重复使用的规则。语法规则的这种递归性质,使它成为一种简明的规则,帮助人们学习语言和运用语言时举一反三,以繁驭简。 1 4、"形态的定义? 答: 在有些语言中,词与词组合是形式要发生变化。同一个词与不同的词组合就有不同的变化。这些变化形成一个聚合。叫做形态。 1 5、"语法范畴概念?包括(性、数) 答: 根据某些语法意义的共同内容,把语法意义概括为几个基本类别,这种语法意义的类就叫做“语法范畴”。语法范畴可以分为“词法范畴”和“句法范畴”两大类。主要由词的变化形式表示的语法意义就属于词法范畴。词法范畴又分为“体词属性范畴”和“谓词属性范畴”两类。常见的体词属性范畴有: (1)性; (2)数; (3)格; (4)有定和无定。常见的谓词属性范畴有: (1)时; (2)体;

system_verilog教程

基于断言的验证技术 SystemVerilog Tutorials 下面的手册会帮助你了解一些SystemVerilog中最重要的新特点。手册还提供了一些代码样本和例子使你可以对语言有更好"感觉"。这些辅导假设你们已经了解了一些Verilog语言。如果没有,你可以先去看看Verilog设计者指南(V erilog Designer’s Guide)。 * Data types * RTL design * Interfaces * Clocking * Assertion-based verification * Classes * Testbench automation and constraints * The Direct Programming Interface (DPI) SystemVerilog 的数据类型 这个手册将描述Systemverilog新引进的数据类型。他们大多数都是可以综合的,并且可以使RTL级描述更易于理解和书写。 整型和实型 SystemVerilog引进了几种新的数据类型。C语言程序员会熟悉其中的大多数。引进新的数据类型构思是这样的,如果C语言和SystemVerilog有相同的数据类型可以使C语言算法模型更容易的转化为SystemVerilog模型。 Verilog的变量类型有四态:既是0,1,X,Z。SystemVerilog引进了新的两态数据类型,每一位只可以是0或是1。当你不需要使用的X和Z值时,譬如在写Testbench和做为for语句的循环变量。使用两态变量的RTL级模型,可以使模拟器更有效率。并且使用得当的话将不会对综合结果产生影响。 二态整型 类型描述例子 Bit user-defined size bit [3:0] a_nibble;

相关文档
最新文档