交通灯控制器的设计.EDA课程设计

交通灯控制器的设计.EDA课程设计
交通灯控制器的设计.EDA课程设计

EDA 课程设计报告书

课题名称 交通灯控制器的设计 姓 名

胡伟明

学 号 0812201-46 院 系 物理与电信工程系 专 业 电子信息工程 指导教师

周来秀 讲师

2011年 6月10日

※※※※※※※※

※ ※※ ※

※ ※※

2008级学生

EDA 课程设计

一、设计任务及要求:

(1)十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用LED灯表示当

前的交通状态。

(2)能实现正常的倒计时功能。用两组七段数码管倒计时显示当前交通状态剩余

秒数。

(3)该交通灯的亮灭顺序如下:

东西绿灯40秒黄灯5秒东西红灯25秒

南北红灯45秒南北绿灯20秒黄灯5秒

(4)能实现特殊状态的功能。例如消防车、救护车或其他需要优先放行的车辆通过时实现下列功能:

①按下特殊状态键后,能实现特殊状态功能;

②显示倒计时的两组数码管闪烁;

③计数器停止计数并保持在原来的状态;

④东西、南北路口均显示红灯状态;

⑤特殊状态解除后能继续计数;

(5)能实现总体清零功能。按下清零键后,系统实现总体清零,计数器由初始状态计数,对应状态的指示灯亮。

(6)用VHDL语言设计符合上述要求的交通灯控制器,并用层次化设计方法设计该电路。

指导教师签名:

年月日二、指导教师评语:

指导教师签名:

年月日三、成绩

验收盖章

年月日

交通灯控制器的设计

1设计目的

通过设计交通灯控制器,了解EDA技术,了解并掌握VHDL硬件描述语言的设计方法和思想,巩固和综合运用所学过的EDA原理知识,提高分析、解决实

际问题的独立工作能力。

2设计的主要内容和要求

交通灯控制器的设计,设计了主干道的交叉路口交通信号灯无人自动管理的控制系统。将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机。

交通控制器能完成以下功能:

1.能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组红、黄、绿三色LED灯作为两个方向的红、黄、绿灯;

2.南北向为主干道,每次通行时间为45S,东西向为支干道,每次通行时间为25S;3.能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示。其中,黄灯:5S。

4.能实现特殊状态的功能。按下SP键后,能实现以下特殊功能:

(1)显示倒计时的两组数码管闪烁;

(2)计数器停止计数并保持在原来的状态;

(3)东西、南北路口均显示红灯状态;

(4)特殊状态解除后能继续计数;

5. 能实现全清零功能。按下reset键后,系统实现全清零,计数器由初状态计数,对应状态的指示灯亮;

6. 用VHDL语言设计上述功能的交通灯控制器,并用层次化方法设计该电路;

7. 仿真、验证设计的正确性。

3 整体设计方案

3.1 方案分析

通过分析课程设计的要求可以知道,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口,其主要功能是:主干道处于常允许通行的状态,支干道有车来时才允许通行;当支干道没有车通行时,主干道亮绿灯,而支干道亮红灯,主、支干道的倒计时数码管不显示数字;当支干道有车通行时,进入了主干道和支干道交替通行的情况。

当进入到交替通行时,主干道每次放行45秒,支干道每次放行25秒。首先主干道通行45秒,并且主干道显示45秒的倒计时,此45秒内主干道亮绿灯,当45秒计时结束,主干道亮黄灯5秒,而且显示5秒倒计时,用于绿灯转为红灯作为过渡,使行驶中的车辆有时间停到禁行线外,在主干道亮绿灯和黄灯的50秒内,支干道亮红灯50秒,而且支干道显示50秒的倒计时。然后,当支干道亮红灯50秒结束时,主干道由黄灯转为红灯,并且主干道的红灯亮30秒,显示30秒的倒计时,在此过程中,支干道先亮绿灯25秒,显示25秒的倒计时,25秒过后绿灯转为黄灯,而且黄灯亮5秒,显示5秒倒计时,用于绿灯转为红灯作为过渡,使行驶中的车辆有时间停到禁行线外。支干道通行结束后,主干道通行,以此交替。

3.2 方案状态机控制设计

将十字路口分成东西走向和南北走向的主干道和支干道。

交通灯的工作明显可以分成5个状态,如下表3.1所示:

表3.1 工作状态表

支干道是否有车状态实现功能

支干道没车St0 支干道亮红灯,主干道亮绿灯,数码管不显示。

支干道有车St1 主干道亮绿灯45秒,数码管显示45秒倒计时;支干道亮红灯,数码管显示从49秒倒计时到05秒。

St2 主干道亮黄灯5秒,数码管显示5秒倒计时;支干道亮红灯,数码管显示从04秒倒计时到00秒。

St3 支干道亮绿灯25秒,数码管显示25秒倒计时;主干道亮红灯,数码管显示从29秒倒计时到05秒。

St4 支干道亮黄灯5秒,数码管显示5秒倒计时;主干道亮红灯,数码管显示从04秒倒计时到00秒。

3.3 整体设计方案

根据交通灯控制器的功能与要求,可以把整体设计分为三个模块:分频模块,把2kHz 的频率分成1Hz ,1Hz 用于倒计时计数的时钟信号,而2kHz 则可以用于数码管扫描显示的片选时钟信号;交通灯控制及倒计时(五个状态的控制)模块;数码管译码扫描显示模块。整体的系统框图如图3.1所示:

分频

2kHz

交通灯控制及倒计时

主支干道红黄绿灯

数码管倒计时显示

支干道是否有车

1KHZ

七段译码

位选

图3.1 系统框架图

分频模块就是把输入的2kHz 时钟频率2000分频得到1Hz 的频率用于数码管倒计时的时钟信号,其原理就是设计一个0到999循环计数的的计数器,当计数溢出,即计数到999时使输出量取反,则输出为0.5秒的高电平和0.5秒的低电平交替出现,就得到了1Hz 的方波,作为秒倒计时的时钟信号。 交通灯控制及倒计时模块就是五个状态的转换模块,是整个系统的核心模块,其五个状态分别st0、st1、st2、st3、st4。其中st0是当支干道没有车通行的状态,st1是主干道绿灯亮45秒的状态,st2是主干道亮黄灯5秒的状态,st3是支干道亮绿灯25秒的状态,st4是支干道亮黄灯5秒的状态。当主干道亮绿灯和黄灯时,支干道都是亮红灯,当支干道亮绿灯和黄灯时,主干道都是亮红灯,并且主、支干道都会显示亮灯的倒计时时间,主、支干道的红黄绿灯用六个LED 发光二极管代替。

五个状态图如下图3.2所示:

S t1

S t2

S t4

S t3

S t0

Car 为1且主干道绿灯倒计时结束

Car 为1且支干道绿灯倒计时结束

Car 为1且主干道黄灯倒计时结束

Car 为1 且支干道黄灯倒计时结束

Car 为0

Car 为0

Car 为0

Car 为1

Car 为0

Car 为0

图3.2 状态图

数码管倒计时显示,是用四个一体的数码管,分别表示主干道和支干道的秒倒计时,所以是动态扫描显示,扫描的频率直接用2KHz 的输入时钟频率。

4 软硬件电路的设计

4.1 各模块的原理及其程序

4.1.1 时钟模块设计

时钟分频模块就是把输入的2kHz 时钟频率2000分频得到1Hz 的频率用于数码管倒计时的时钟信号,其原理就是设计一个0到999循环计数的的计数器,当计数溢出,即计数到999时使输出量取反,则输出为0.5秒的高电平和0.5秒的低电平交替出现,就得到了1Hz 的方波,作为秒倒计时的时钟信号。

时钟分频模块生成的元件符号如下图4.1所示:

图4.1 时钟分频模块

clk2khz 是频率为为2khz 的输入时钟信号,clk1hz 是经过2000分频后得到的频率为1hz 方波的输出信号。

4.1.2 交通灯控制及计时模块

此模块是整个系统的核心部分,主要功能是完成五个状态的转换,并且在每个状态里完成相应的控制作用,即控制主干道和支干道的红黄绿灯的点亮和各自数码管倒计时显示。

编程时主要是用一个进程语句,其敏感信号是时钟分频模块产生的1Hz时钟信号,进程里主要用case语句完成五个状态的控制,在每个状态里要控制主干道和支干道的红黄绿灯的点亮,而且要控制各自数码管倒计时的显示,并为扫描显示译码模块提供倒计时时间,同时要使每个状态结束时能顺利进入下一个状态。

五个状态及相应的功能是:St0,支干道亮红灯,主干道亮绿灯,数码管不显示;St1,主干道亮绿灯45秒,数码管显示45秒倒计时;支干道亮红灯,数码管显示从49秒倒计时到05秒;St2,主干道亮黄灯5秒,数码管显示5秒倒计时;支干道亮红灯,数码管显示从04秒倒计时到00秒;St3,支干道亮绿灯25秒,数码管显示25秒倒计时;主干道亮红灯,数码管显示从29秒倒计时到05秒;St4,支干道亮黄灯5秒,数码管显示5秒倒计时;主干道亮红灯,数码管显示从04秒倒计时到00秒。状态转换条件参照图3.2。

此模块生成的元件符号如下图4.2所示:

图4.2 交通灯控制及计时模块

clk1hz是分频模块输出的1Hz的时钟信号,car是支干道是否有车的判断信号,one1、ten1、one2、ten2分别是主干道倒计时的个位和十位,支干道倒计时的个位和十位。r_a、g_a、y_a、r_b、g_b、y_b分别是主干道和支干道的红、绿、黄灯控制信号。

4.1.3 扫描显示译码模块

此模块中含有七段数码管译码和扫描显示两个部分。七段译码可以使用case语句,将数码管要显示的数译成对应的七位二进制数,用来控制数码管的a、b、c、d、e、f、g的导通。主干道和支干道倒计时要用到4个数码管,且是四位一体的,则要使用扫描显示的方法:设计一个00到11循环计数的计数器,而且计数的时钟要比较大,选用输入的2KHz的时钟信号;当计数器计数为00时,选通第一个数码管,给它主干道倒计时个位的七段译码,当计数器计数为01时,选通第二个数码管,给它主干道倒计时十位的七段译码,当计数器计数为10时,选通第三个数码管,给它支干道倒计时个位的七段译码,当计数器计数为11时,选通第四个数码管,给它支干道倒计时十位的七段译码,并以此循环扫描显示,达到人眼看上去四个数码管全显示的效果。

此模块生成的元件符号如下图4.3所示:

图4.3 扫描显示译码模块元件符号

其中clk2khz是输入的扫描时钟信号。one1、ten1分别是主干道倒计时的个位和十位,one2、ten2分别是支干道倒计时的个位和十位,这四个信号都是有交通灯控制及倒计时模块输出的。Scan是四个数码管的片选信号,seg_7是七段译码输出信号。

4.1.4 顶层文件的编写

顶层文件就是将上述的三个模块进行例化,把它们连接起来组成一个整体。元件例化语句由两部分组成,第一部分是将一个现成的设计实体定义为一个元件,它的最简单表达式如下:

component 元件名 is

port (端口名表);

end component 文件名;

元件例化语句的第二部分是此元件与当前设计实体中元件间及端口的连接说明,语句的表达式如下:

例化名:元件名 port map ([端口名=>]连接端口名,...);

由顶层文件生成的元件符号如下图4.4所示:

图4.4 顶层文件生成元件符号

clk_2k是外部输入的频率为2khz的时钟信号,car是判断支干道是否有车的输入信号。scan[1..0]是四位一体数码管的片选输出信号,seg_7[6..0]是数码管的七段译码输出信号,ra、ga、ya、rb、gb、yb分别是主干道和支干道红、绿、黄灯的输出控制信号。

4.2 顶层原理图

系统原理图如下图4.5所示:

图4.5 顶层原理图

系统工作原理: 把car置为低电平,系统处于st0状态,即表示支干道没有车来时,主干道亮绿灯,支干道亮红灯,四个倒计时数码管都是灭的。当把car置为高电平并不变后,主干道和支干道分别进入状态st1,st2,st3,st4,并分别正常显示四个状态的内容,实现主干道每次放行45秒,支干道每次放行25秒,从而正确实现交叉路口交通灯的控制。

5 系统仿真

5.1 时钟分频模块仿真

仿真波形图如下图5.1所示:

设置的end time 是3s,输入

clk2kHz 是频率为2kHz 的方波。

图5.1分频模块仿真波形图

波形分析:开始时clk1hz 为低电平,当计数器第一次计满时,clk1hz 由低电平转为高电平,当计数器第二次计满时,clk1hz 转为低电平。在这一过程中,clk1hz 先后经历了500ms 的低电平和高电平,恰好为一个周期1s,之后依次高低交替,得到频率为1Hz 的方波。

5.2 交通灯控制及计时模块仿真

仿真波形如下图5.2所示:

设置的end time 为100ms ,clk1hz 为周期是1ms 的方波(把周期缩小为的是可以缩小仿真的时间,方便波形的仿真)。

放大之后

图5.2 交通灯控制及倒计时模块仿真波形图

T=1s

波形分析:当car为0时,状态为st0,此状态中主干道亮绿灯,支干道亮红灯,当car为1不变时,变为状态st1,之后进入st1-st2-st3-st4-st1的循环状态,在相应的状态里面也能正确地控制红黄绿灯点亮。将上图中下面太密的地方放大一段后,可以清楚地看到,在状态st1中,主干道进行着45秒的倒计时,而支干道进行着50秒的倒计时,两个倒计时在st0的状态中始终相差5秒。

5.3 扫描显示译码模块仿真

仿真波形如下图5.3所示:

方便仿真设设置的end time为6ms,clk2khz周期为500us。

当one和ten为10时对应的七段译码是0000000,使

数码管不显示,用于支干道没有车的情况!

图5.3 扫描显示译码模块的波形仿真图

波形仿真说明:为方便观察one1、ten1、one2、ten2及scan用的是无符号十进制数,当scan=0时显示one1,当scan=1时显示ten1,当scan=2时显示one2,当scan=3时显示ten2。Seg_7的从高到低七位分别对应数码管gfedcba 七段。

5.4 顶层文件的仿真

顶层文件的波形仿真图如下图5.4所示:

为了方便波形仿真,设置end time为1.2s,clk_2k是周期为5us的方波。

图5.4 顶层文件波形仿真图

仿真波形分析:当car为0时,为状态st0,ga、rb为高电平,即主干道亮绿灯,支干道亮红灯;当car由0变为1且不变时,状态转为st1,ga、rb 为高电平,即主干道亮绿灯,支干道亮红灯;当st1倒计时结束,则转为st2,ya、rb为高电平,即主干道亮黄灯,支干道亮红灯;当st2倒计时结束,则转为st3,ra、gb为高电平,即主干道亮红灯,支干道亮绿灯;当st3倒计时结束,则转为st4,ra、yb为高电平,即主干道亮红灯,支干道亮黄灯;当st4倒计时结束,则转为st1。当在状态st1,大约在1s处car由高电平变为低电平时,状态则会转为st0。

鉴于图5.4中的scan和seg7太密而看不出其中的变化,所以要把其中几段放大才能便于观察。

1、st=st0时放大的波形如下图5.5所示:

图5.5 st=st0时放大的一段波形

图5.5波形分析:通过图5.5可以清晰地观察到当st=st0时,主干道和支干道的数码管都是灭的,即seg7=”0000000”。

2、st=st1时放大的一段波形如下图5.6所示:

图5.6 st=st1时放大的一段波形

图5.6波形分析:由于要经过clk_2k两千分频后才能得到1hz的倒计时时钟信号,则要经过clk_2k两千个周期后主干道和支干道的数码管秒倒计时才减一秒,所以在顶层文件波形仿真时不好看到倒计时的变化。在图5-6中可以看到在st为st1时主干道的数码管显示为44,支干道的数码管显示为49,即scan 为0时,seg7是“1100110”,scan为1时,seg7是“1100110”,scan为2时,seg7是“1101111”,scan为3时,seg7是“1100110”。而st2、st3、st4放大后的波形和图5.6相似。

实验结果

开始时把car置为低电平,即表示支干道没有车来时,主干道亮绿灯,支干道亮红灯,四个倒计时数码管都是灭的。

当把car置为高电平并不变后,主干道和支干道分别继续亮绿灯和红灯,同时主干道的数码管从44开始一秒一秒地倒计时显示直至倒计时到00,而支干道的数码管从49开始一秒一秒地倒计时显示,并且主、支干道的数码管显示值始终相差5。当主干道的倒计时到00(支干道倒计时到05)后的下一秒,主干道的绿灯灭,亮起了黄灯,而且主干道的数码管从04开始秒倒计时直至00,支干道的红灯在这一过程中始终是亮的,而且数码管正常倒计时,和主干道的数码管显示。当主、支干道数码管倒计时到00后的下一秒,主干道的黄灯灭,红灯亮,数码管从29开始一秒一秒地倒计时,而支干道的红灯灭,绿灯亮,数码管开始从24一秒一秒地倒计时,始终和主干道的数码管少5,直至倒计时到00。当支干道数码管倒计时到00(主干道为05)的下一秒后,支干道的绿灯灭,黄灯亮,数码管开始从04一秒一秒地倒计时直至00,而主干道在这一过程中

继续亮红灯,数码管继续正常地倒计时,而和支干道数码管显示相同。当主、支干道倒计时到00的下一秒,则进入到主干道亮绿灯,支干道亮红灯的状态,只要car依然维持在高电平,就会不断循环支干道有车通信的四个状态。

当car从高电平变为低电平后,不管之前处于st1、st2、st3、st4中的任何一个状态都会进入到st0状态,即主干道亮绿灯,支干道亮红灯,四个数码管都不会显示。

6 使用说明

把car置为低电平,系统处于st0状态,即表示支干道没有车来时,主干道亮绿灯,支干道亮红灯,四个倒计时数码管都是灭的。当把car置为高电平并不变后,主干道和支干道分别进入状态st1,st2,st3,st4,并分别正常显示四个状态的内容。实现主干道每次放行45秒,支干道每次放行25秒。从而正确实现交叉路口交通灯的控制。

7 设计总结

通过的紧张工作,完成了我的设计任务——交通灯控制器设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

[1] 潘松,黄继业.EDA技术使用教程[M].北京:科学出版社,2006:320-332.

[2] 黄任.VHDL入门.解惑.经典实例.经验总结[M].北京:北京航空航天大学出版社,2005:64-88.

[3] 徐志军,徐光辉.CPLD/FPGA的开发与应用[M].北京:电子工业出版社,2002:208-218.

[4] 褚振勇.FPGA设计与应用[M].西安:西安电子科技大学出版社,2003:218-230.

[5] 夏宇闻.Verilog数字系统设计教程[M].北京:北京航空航天大学出版社,2008:302-325.

[6] 夏宇闻.复杂数字电路与系统的Verilog HDL设计技术[D].北京清华大学出版社,1998:28-56.

附录

1、时钟分频模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity div is

port(clk2khz:in std_logic;--2khz的输入时钟

clk1hz:out std_logic);--经2000分频后的1hz时钟输出信号

end;

architecture one of div is

begin

process(clk2khz)-----2KHz to 1Hz

variable count:integer range 0 to 999;--0到999计数器

variable clk1:std_logic;

begin

if clk2khz'event and clk2khz='1' then

if count=999 then clk1:=not clk1;count:=0;

else count:=count+1;

end if;

clk1hz<=clk1;

end process;

end;

2、扫描显示译码模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity display is

port(clk2khz:in std_logic;--扫描时钟信号

one1,ten1,one2,ten2:in integer range 0 to 10;

scan:out std_logic_vector(1 downto 0);--片选输出信号 seg_7:out std_logic_vector(6 downto 0));--七段译码输出end entity display;

architecture three of display is

signal data:integer range 0 to 10;

signal seg77:std_logic_vector(6 downto 0);

signal cnt:std_logic_vector(1 downto 0);

begin

process(data)-------七段译码

begin

case data is

when 0=>seg77<="0111111";

when 1=>seg77<="0000110";

when 2=>seg77<="1011011";

when 3=>seg77<="1001111";

when 4=>seg77<="1100110";

when 5=>seg77<="1101101";

when 6=>seg77<="1111101";

when 7=>seg77<="0000111";

when 8=>seg77<="1111111";

when 9=>seg77<="1101111";

when 10=>seg77<="0000000";

end case;

end process;

seg_7<=seg77;

process(clk2khz,one1,ten1,one2,ten2)----------数码管动态扫描计数begin

if clk2khz'event and clk2khz='1' then --00到11循环计数器

if cnt="11" then cnt<="00";

else cnt<=cnt+1;

end if;

end if;

end process;

process(cnt,one1,ten1,one2,ten2)----数码管动态扫描显示

begin

case cnt is

when "00"=>data<=one1;scan<="00";

when "01"=>data<=ten1;scan<="01";

when "10"=>data<=one2;scan<="10";

when "11"=>data<=ten2;scan<="11";

when others=>null;

end case;

end process;

end three;

3、交通灯控制及计时模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity state5 is

port(clk1hz,car:in std_logic;--1hz倒计时时钟信号

one1,ten1,one2,ten2:out integer range 0 to 10;--倒计时数

r_a,g_a,y_a,r_b,g_b,y_b:out std_logic);--主支干道红黄绿灯end;

architecture two of state5 is

signal st:std_logic_vector(2 downto 0);

signal r1,g1,y1,r2,g2,y2:std_logic;

begin

process(clk1hz)-------5 states

variable eoc:std_logic;--倒计时结束标志位

variable h1,l1,h2,l2:integer range 0 to 10;

begin

if clk1hz'event and clk1hz='1' then

case st is

when "000"=>if car='0' then-----支干道没车经过

g1<='1';r1<='0';y1<='0';g2<='0';r2<='1';y2<='0';

h1:=10;l1:=10;h2:=10;l2:=10;st<="000";

else st<="001";

h1:=4;l1:=4;

h2:=4;l2:=9;

end if;

when "001"=>if car='0' then st<="000";-----主干道绿灯亮45秒

else

if eoc='0' then

h1:=4;l1:=4;

h2:=4;l2:=9;

eoc:='1';

g1<='1';r1<='0';y1<='0';g2<='0';r2<='1';y2<='0';

else

if h1=0 and l1=1 then

st<="010";eoc:='0';h1:=0;l1:=0;h2:=0;l2:=5;

elsif l1=0 then

l1:=9;h1:=h1-1;l2:=l2-1;

elsif l2=0 then

l2:=9;h2:=h2-1;l1:=l1-1;

else l1:=l1-1;l2:=l2-1;

end if;

end if;

end if;

when "010"=>if car='0' then st<="000";------主干道黄灯亮5秒

else

if eoc='0' then

h1:=0;l1:=4;

h2:=0;l2:=4;

eoc:='1';

g1<='0';r1<='0';y1<='1';g2<='0';r2<='1';y2<='0'; else

if l1=1 then

st<="011";eoc:='0';h1:=0;l1:=0;h2:=0;l2:=0; else l1:=l1-1;l2:=l2-1;

end if;

end if;

end if;

when "011"=>if car='0' then st<="000";------支干道绿灯亮25秒

else

if eoc='0' then

h1:=2;l1:=9;

h2:=2;l2:=4;

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

基于单片机交通灯课程设计

重庆三峡职业学院 课程设计 课题名称交通灯控制系统设计 交通灯控制系统设计 摘要:本系统由单片机最小系统、按键(开关)、LED显示等等组成交通灯演示系统。 系统用红、黄、绿三个发光二极管模拟交通灯的红灯、黄灯、绿灯的功能。系统除基本交通灯功能外,还具有倒计时(15秒)、时间设置、紧急情况(按键模拟传感器)处理等功能。 关键词:AT89C51,交通规则 弓I 言:随着日新月异的电子变革,电子产品发生了突飞猛进的巨变,而在其中AT89C51扮演着一个重要的角色,AT89C51单片机具有广泛性、工具性、基础性的几个特点。单片机应成为中等技术人员的重要技术知识层面。近年来,我国工程技术队伍的梯队建设有了很大的进展。各类高职、高专如雨后春笋,涉电专业普遍开设单片机类课程。直观性表现在尽可能让我们在学习基本原理时能直观地看到相关实物及实物表演,使基本原理能实现形象化的表达;实践性表现在我们要通过许多实际操作来理解与掌握单片机的本质与技能;综合性表现在最终能使我们达到运用知识与技能来完成一个应用系统开发的全过程,有助于大学生动手能力的培养和提高,课程设计就是一门应用性很强的课程。如何让我们在学好基础知识的同时,迅速掌握设计应用技术,其中,实验与课程设计环节起着非常重要的作用。对我们学习和掌握单片机设计技术起到积极的作用。

一、方案比较、设计与论证

(1)电源提供方案 为使模块稳定工作,须有可靠电源。我们考虑了两种电源方案 方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统线路变复杂,且可能影响系统各模块的电路电平。 方案二:采用干电池提供电源。该方案的优点是系统简明扼要,线路易于梳理,节约成本;缺点是输出功率不高。 综上所述,我们选择第二种方案。 (2)显示界面方案: 该系统要求完成数码管倒计时(15s)、状态灯发光二极管(红、黄、绿)的显示功能。基于上述原因,我们考虑了二种方案: 方案一:东西南北四个方向分别采用两位数码管显示倒计时。这种方案只显示有限的符号和数码字苻,并且制作PCB图时有许多的线相交,线路十分的复杂,不易制作原理图与PCB图,无法胜任题目要求。 方案二:东西南北四面各自采用红、黄、绿三个发光二极管显示,采用一个两位数码管显示倒计时,主要优点是易于调整元件在硬制板上摆放的位置,同时也易于PCB图的制作。 综上所述,我们选择方案二。 (3)输入方案: 题目要求系统能即时的处理外部紧急情况产生的中断,我们讨论了两种方案: 方案一:采用矩阵键盘。该方案的优点是: 可提供较多I/O 口,实现更多的外部中断。直接站单片机的接口少的特点,但操作起来稍显复杂,而且编程也趋于复杂。 方案二:直接在IO 口线上接上按键开关。因为设计时精简和优化了电路。 由于该系统对于交通灯等发光二极管的控制,只用单片机本身的I/O 口就可实现,只要一个开关来模拟一个外部的紧急中断,且本身的计数器及RAM E经够用,故选择方案二。 (4)系统方案: 本系统的硬件采用模块化设计,以单片机控制器为核心,与LED信号灯电路等组成单片机控制信号系统? 单 片 机

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

单片机课程设计——交通灯控制系统设计

本科课程设计报告 单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯课程设计范本(doc 13页)

交通灯课程设计范本(doc 13页)

目录 课程设计任务书 ---------------------------------------------------------- 3摘要-------------------------------------------------------------------- 7功能概述---------------------------------------------------------------- 7系统方案设计 ------------------------------------------------------------ 7MSC-51芯片简介------------------------------------------------------- 78255芯片简介----------------------------------------------------- 10硬件设计-------------------------------------------------------------- 11交通管理的方案论证-------------------------------------------------- 11系统硬件设计-------------------------------------------------------- 12系统工作原理-------------------------------------------------------- 12软件设计-------------------------------------------------------------- 12时间的程序设置 ----------------------------------------------------- 13紧急通道功能的设计 ------------------------------------------------- 14主程序设计—路口灯状态转换------------------------------------------ 14程序流程图 --------------------------------------------------------- 15程序源代码 --------------------------------------------------------- 15运行效果-------------------------------------------------------------- 17心得体会-------------------------------------------------------------- 19参考资料-------------------------------------------------------------- 19

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

课程设计-基于PLC控制的交通红绿灯系统设计

《机电一体化》课程设计交通红绿灯PLC控制系统 班级:工学院机电1003班 指导老师: _________ 小组成员: __________________________ __________________________ __________________________ 日期: 2013年6月28日

【摘要】随着社会经济的快速发展和人们消费水平的不断提高,私家车不断增加,城市人多、车多道路少的交通状况越来越引起人们的关注。为了实现交通道路的管理,在各个道口安装红路灯已经成为了疏导交通车辆最为常见和最有效的手段。PLC控制系统可以实现了按车流量规模给定绿灯时长,达到最大限度的车辆放行,减少十字路口的车辆滞流,缓解交通拥挤以实现最优控制,从而提高交通控制系统的效率。 PLC具有结构简单、编程方便、可靠性高等优点,并广泛用于工业过程的自动控制中。由于PLC具有对使用环境适应性强的特性,同时其内部的定时器资源十分丰富,可对目前较为普遍使用的“渐进式”信号灯进行精确控制,能够方便实现对多岔路口红绿灯的控制,因此PLC被越来越多地应用于交通灯系统中。 PLC还具有通讯联网功能,可将同一条道路上的信号灯连成一局域网进行统一调度管理,缩短车辆通行等候时间,实现科学化管理。在实时检测和自动控制PLC应用系统中,PLC大都是作为一个核心部件来设计使用的。 【关键词】 PLC;交通灯;控制系统

目录 第一章绪论 (1) 1.1 PLC及WinCC介绍 (1) 1.1.1 PLC简单概述 (1) 1.1.2 WinCC介绍 (2) 1.2 十字路口交通灯控制任务 (3) 1.3 研究目的和意义 (4) 1.4 方案设计 (4) 第二章交通信号控制系统实况 (5) 2.1十字路口交通灯控制实际情况描述 (5) 2.1.1 控制任务要求 (5) 2.2 结合十字路口交通灯的路况画出模拟图 (5) 2.3交通灯控制流程图 (6) 第三章可编程控制器程序设计 (7) 3.1可编程控制器I/O端口分配 (7) 3.2 PLC的外部接线图 (7) 3.2.1输入/输出接线列表 (7) 3.2.2 PLC外部接线原理图 (7) 3.3程序梯形图及其说明 (8) 第四章十字路口交通灯的组态控制过程 (12) 4.1工程的建立和变量定义 (12) 4.1.1 工程的建立 (12) 4.1.2 变量的定义 (12) 4.2组态画面的建立 (12) 4.3 MOVEX1~MOVEY2的脚本编辑 (13) 第五章小组总结 (15) 参考文献 (15) 附表:PLC梯形图指令表 (16) 附图:交通红绿灯PLC控制系统实验相片 (18)

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

微机原理课程设计交通灯

一、课程设计题目 交通灯控制 二、课程设计目的 ●综合运用《微机原理与应用》课程知识,利用集成电路设计实 现一些中小规模电子电路或者完成一定功能的程序,以复习巩 固课堂所学的理论知识,提高程序设计能力及实现系统、绘制 系统电路图的能力,为实际应用奠定一定的基础。 ●掌握8255A方式0的使用与编程方法 ●PC机及配套的接口电路实验装置 ●IC芯片:8255A应用和8253 三、课程设计容 ●采用8255A设计交通灯控制的接口方案 ●采用8253设计延时电路 ●插接电路 ●编写控制程序 四、课程设计过程 1、设计原理 ●8255 8255是Intel公司生产的可编程并行I/O接口芯片,有3 个8位并行I/O口。具有3个通道3种工作方式的可编程 并行接口芯片(40引脚)。其部与引脚图如图所示:

8255有三个端口A、B、C端口,3种不同的工作方式,在其控制字的作用下使某一个端口工作于某一种工作状态下。 8253 intel8253是NMOS工艺制成的可编程计数器/定时器,其部有三个计数器,分别成为计数器0、计数器1和计数器2,他们的机构完全相同,如图所示:

每个计数器的输入和输出都决定于设置在控制寄存器中的控制字,互相之间工作完全独立,采用减1计数方式。控制字如图所示: 在门控信号有效时,每输入1个计数脉冲,通道作1次计数操作。当计数脉冲是已知周期的时钟信号时,计数就成为定时。各通道可有6种可供选择的工作方式,以完成定时、计数或脉冲发生器等多种功能。在这里我们主要采用方式0:计数结

束产生中断 (由低电平变为高电平)。其波形图如图所示: a. 写CW后:OUT=0,直到计数到0 b. 写N后:下1个CLK脉冲下降沿开始计数 c. 计数过程中,可重写N,重写N后,同b. d. GATE的作用:GATE=1计数、=0暂停计数 e. 计数到0:OUT=1,直到再写CW或N 2、方案设计 考虑普通十字路口,交通灯的控制可分东西向和南北向两 组,每组可用红、黄、绿三个灯进行交通管理,所以本方 案要点是至少对六个交通灯进行控制。由于灯光控制只需 要开、关两个状态,所以可以采用开关量实施控制。开关

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

电子课程设计 交通灯

模拟电子技术课程设计 学院: 电气与信息工程学院 专业班级: 学生1姓名: 学生1学号: 学生2姓名: 学生2学号: 指导教师: 完成时间: 成绩: 存在得问题1: (9) 存在得问题2: (9) 存在得问题3: (9) 五.附录 (10) 表2 元器件明细表 (10) 附图2 (12) 实物图 (13) 六.参考文献 (13)

简易交通灯控制逻辑电路设计报告 一、设计要求 (1)东西方向绿灯亮,南北方向红灯亮,时间15s。 (2)东西方向与南北方向黄灯亮,时间5s。 (3) 南北方向绿灯亮,东西方向红灯亮,时间l0s。 (4) 如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、设计得作用、目得 设计一个交通灯控制器,由一条主干道(东西道)与一条支干道(南北道)汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中得车辆有时间停在禁行线外。 主、支干道均有车时,两者交替允许通行,主干道每次放行15秒,支干道每次放行10秒,还有5秒得黄灯时间作为过度,让行驶中得车辆停在禁行线以外。 通过对交通灯得设计,加深对555定时器构建电路得理解,掌握基本电路在实际生活中得应用。 三.设计得基本实现 1、系统概述 因为信号灯得工作状态循环不变,故可以才用扭环形计数器将单位时间脉冲按分配来实现,根据要求,可以采用n=6得扭环形计数器。扭环形计数器可以由3个74LS-双D触发器组成。 系统由脉冲信号发生器、定时器、控制器、信号灯显示器五大部分组成。其中脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出定时信号,使相应得LED灯发光。控制器根据定时器得信号,进行状态间得转换,使显示器得显示发生相应转变。 2、单元电路得设计与分析

相关文档
最新文档