基于FPGA和单片机的多功能等精度频率计设计

基于FPGA和单片机的多功能等精度频率计设计
基于FPGA和单片机的多功能等精度频率计设计

基于FPGA和单片机的多功能等精度频率计设计

摘要

基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。

关键词等精度测量,单片机,频率计,闸门时间,FPGA

ABSTRACT

Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision

Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array

1 绪论

测量频率是电子测量技术中最常见的测量之一。不少物理量的测量, 如时间、速度等都涉及到或本身可转化为频率的测量。目前, 市场上有各种多功能、高精度、高频率的数字频率计, 但价格不菲。而在实际工程中, 并不是对所有信号的频率测量都要求达到非常高的精度。

目前, 有三种常用的数字频率的测量方法: 直接测量法(以下称M法) 、周期测量法(以下称T法) 和综合测量法(以下称M /T法) 。M法是在给定的闸门时间内测量被测信号的脉冲个数, 进行换算得出被测信号的频率。T法是通过测量被测信号一个周期时间计时信号的脉冲个数, 然后换算出被测信号的频率。这两种测量法的精度都与被测信号有关, 因而它们属于非等精度测量法。而M /T法它通过测量被测信号数个周期的时间, 然后换算得出被测信号的频率, 克服了测量精度对被测信号的依赖性。M /T法的核心思想是通过闸门信号与被测信号同步, 将闸门时间τ控制为被测信号周期长度的整数倍。测量时, 先打开预置闸门, 当检测到被测信号脉冲沿到达时, 标准信号时钟开始计数。预置闸门关闭时, 标准信号并不立即停止计数, 而是等检测到被测信号脉冲沿到达时才停止, 完成被测信号整数周期的测量。测量的实际闸门时间与预置闸门时间可能不完全相同, 但最大差值不超过被测信号的一个周期。

2 系统设计方案

2.1系统设计方案的选择

根据频率计的设计要求,我们可将整个电路系统划分为几个模块,频率测量模块,周期测量模块,脉宽测量模块,和占空比测量模块。标准频率发生电路采用高频率稳定度和高精度的晶镇作为标准频率发生器。如图所示。各模块的实现均有几种不同的设计方案。

13.1 频率计组成模块框图

标准频率信号发生电路

脉冲宽度测量电路

脉冲信号处理电路

占空比测量电路

前置放大电路

波形整形电路

控制与数据处理电路

显示电路

稳压电源

频率、周期测量电路

被测信号输入

预置门控信号

图2.1 频率计组成模块框图 2.1.1 频率测量模块

①直接测频法:把被测频率信号经脉冲整形电路处理后加到闸门的一个出入端,只有在闸门开通时间T (以秒计)内,被计数的脉冲送到十进制计数器进行计数;设计数器的值为N ,则可得到被测信号频率为f=N/T ,经分析,本测量在低频率的相对测量误差较大,即在低频段不能满足本设计的要求。

②组合测频法:这种方法可以在一定程度上弥补方法(1)中的不足,但是难以确定最佳分测点,且电路实现较复杂。

③倍频法:是指把频率测量范围分成多个频段,使用倍频技术,根据频段设

置倍频系数,将经整形的低频信号进行倍频后在进行测量,对高频段则直接进行测量。倍频法较难实现。

④等精度测频法:其实现方式可用图2.2来说明。图中,预置门控信号是宽度为TPR 的一个脉冲,CNT1和CNT2是两个可控计数器。标准频率信号从CNT1的时钟输出端CLK 输入,其频率为fs ,经整形后的被测信号从CNT2的时钟输入端CLK 输入,设其实际频率为fx ;当预置门控信号为高时,经整形后的被测信号的上升沿通过D 触发器的Q 端同时启动计数器CNT1和CNT2。CNT1和CNT2分别对被测信号(频率为fs )和标准频率信号(频率为fx )同时计数。当预置门信号为低时,随后而至的被测信号的上升沿将两个计数器同时关闭。设在一次预置门时间Tpr 内对被测信号的计数值为Nx ,对标准信号的计数值为Ns 。则下式成立:

fx/Nx=fs/Ns

由此推得:fx=fs*Nx/Ns

图2.2 等精度测频法原理框图

若所测频率值为fx ,其真实值为fxe ,标准频率为fs ,一次测量中,由于fx 计数的起停时间都是该信号的上跳沿触发的,因此在Tpr 时间内对fx 的计数Nx 无误差,在此时间内的计数Ns 最多相差一个脉冲,即fx/Nx=fs/Ns ,则下式成立: fxe /Nx=fs/Ns+△et

可分别推得 fx=fs*Nx/Ns fxe =fs*Nx/Ns+△et

D Q

>

CLKE CLK CNT1

OUT1

CLR

CLR

CLK CLKE CNT2 OUT1

预置门控

信号

标准频率信号 被测信号 清零信号

根据相对误差的公式有:△fxe/fxe=fxe-fx/fxe

经整理可得到:△fxe/fxe=△et/Ns

因△et≤1,故△fxe/fxe≤1/Ns Ns=Tpr*fs

根据以上分析,我们可知等精度测频法具有三个特点:1,相对测量误差与被测频率的高低无关;2,增大Tpr或fs可以增大Ns,减少测量误差,提高测量精度;3,测量精度与预置门宽度和标准频率有关,与被测信号的频率无关,在预置门和常规测频闸门时间相同而被测信号频率不同的情况下,等精度测量法的测量精度不变;

经过综合考虑,结合设计需求,选用第④种方案,即用等精度测频法来实现本设计频率测量。

2.1.2 周期测量模块

①直接周期测量法:用被测信号经放大整形后形成的方波信号直接控制计数门控电路,使主门开放时间等于信号周期Tx,时标为Ts的脉冲在主门开放时间进入计数器。设在Tx期间计数值为N,可以根据以下公式来算得被测信号周期:Tx=N*Ts经误差分析,可得结论:用该测量法测量时,被测信号的频率越高,测量误差越大。

②等精度周期测量法:该方法在测量电路和测量精度上与等精度测量完

全相同,只是在进行计算时公式不同,在周期1/T代换频率f即可,其计算公式为Tx=Ts*Ns/Nx从降低电路的复杂度及提高精度(特别是高频)上考虑,本设计拟采用方法②测量被测信号的周期。

2.1.3 脉宽测量模块

在进行脉冲宽度测量时,首先经信号处理电路进行处理,限制只有信号的50%幅度及其以上部分才能输入数字测量部分。脉冲边沿被处理得非常陡峭,然后送入测量计数器进行测量。

测量电路在检测到脉冲信号的上升沿时打开计数器,在下降沿是关闭计数器,设脉冲宽度为Twx,计算公式为:Twx=Nx/fs。

3 系统总体设计方案

等精度数字频率计涉及到的计算包括加,减,乘,除,耗用的资源比较大,用一般中小规模CPLD/FPGA芯片难以实现。因此,我们选择单片机和CPLD/FPGA 的结合来实现。电路系统原理框图如图所示,其中单片机完成整个测量电路的测试控制,数据处理和显示输出;CPLD/FPGA完成各种测试功能;键盘信号由AT89C51单片机进行处理,它从CPLD/FPGA读回计数数据并进行运算,向显示电路输出测量结果;显示器电路采用七段LED动态显示,由8个芯片74LS164分别驱动数码管。

系统的基本工作方式如下:

①P0是单片机与FPGA的数据传送通信口,P1口用于键盘扫描,实现各测试功能的转换;P2口为双向控制口。P3口为LED的串行显示控制口;系统设置5个功能键:占空比,脉宽,周期,频率,和复位。

②7个LED数码管组成测量数据显示器,另一个独立的数码管用于状态显示。

③BCLK 为测频标准频率为50MHz 信号输入端,由晶体震荡源电路提供。

④待测信号经放大整形后输入CPLD/FPGA 的TCL

4 CPLD/FPGA测频专用模块的VHDL程序设计

4.1测频模块逻辑结构

利用VHDL程序设计的测频模块逻辑结构如图所示,其中有关的接口信号规定如下:

①TP(P2.7):TF=0 时等精度测频;TF=1 时测脉宽;

②CLR/TRIG(P2.6):当TF=0 时系统全清零功能;当TF=1 时CLRTRIG 的上跳沿将启动CNT2 ,进行脉宽测试计数;

③ENDD (P2.4):脉宽计数结束状态信号,ENDD=1计数结束;

④CHOICE(P3.2):自校/测频选择,CHOICE=1 测频;CHOICE=0自校;

⑤START(P2.5):当TF=0 时,作为预置门闸,门宽可通过键盘由单片机控制,START=1时预置门开;当TF=1时,START有第二功能,此时,当START=0时测负脉宽,当START=1时测正脉宽。利用此功能可分别获得脉宽和占空比数据。

⑥EEDN (P2.3):等精度测频计数结束状态信号,EEND=0时计数结束。 ⑦SEL[P2.2](P2.2,P2.1,P2.0):计数值读出选通控制;当SEL[2.0]=“000”,“001”, “010”... “111”时,将CNT1,CNT2的计数值分8次,每次读出8位,并传达到单片机的P0口。

CHKF FIN CHOIS

FOUT

FIN START CLR FSD

CLK1EEND CLK2CLRC

CLR

CLK Q[31..0]

CNT1Q1[31..0]Q2[31..0]SEL[2..0]

OO[7..0]

CLR

Q[31..0]CNT2

CLK CLR

START FIN PUL ENDD CONTRL 2CLK2

FSD CNL PUL

CLKOUT GATE FIN

CONTRL DSEL 24

4

2

7

OUTPUT 41

EEND

9

OUTPUT 42

OO[7..0]

OUTPUT 43

ENDD

6

101415

CHEK F FINPUT CHOICE INPUT VCC INPUT VCC INPUT VCC INPUT VCC INPUT VCC INPUT VCC INPUT VCC INPUT VCC

START CLRTRIG FSTD SEL[2..0]

TF

18

16171920

8

图4.1 测频模块逻辑图

4.2 各模块功能和工作步骤如下: 4.2.1 测频/测周期的实现

被测信号脉冲从CONTRL 模块的FIN 端输入,标准频率信号从CONTRL 的FSD 端输入,CONTRL 的CLR 是此模块电路的工作初始化信号输入端。在进行频率或周期测量时,其工作步骤如下:

①令TF=0,选择等精度测频,然后再CONTRL 的CLR 端加一正脉冲信号以完成测试电路状态的初始化。

②由预置门控信号将CONTRL 的START 端置高电平,预置门开始定时,此时由被测信号的上沿打开计数器CNT1进行计数,同时使标准频率信号进入计数器CNT2。

③预置门定时结束信号把CONTRL 的START 端置为低电平(由单片机来完成),在被测信号的下一次脉冲的上沿到来时,CNT1停止计数,同时关断CNT2对FS 的计数。

④计数结束后,CONTRL 的EEND 端将输出低电平来指示测量计数结束,单片机得到此信号后,即可利用ADRC (P2.2),ADRB (P2.1),ADRA (P2.0)分别读回CNT1 和CNT2的计数值,并根据精度测量公式进行运算,计算出被测信号的频率或周期值。 4.2.2 控制部件设计

如图所示,当D 触发器的输入端START 为高电平时,若FIN 端来一个上升沿,则Q 端变为高电平,导通FIN-CLK1和FSD-CLK2,同时EEND 被置为高电平作为标志;当D 触发器的输入端START 为低电平时,若FIN 端输入一个脉冲上沿,则FIN-CLK1与FSD-CLK2的信号通道被切断。

13.5 测频与测周期控制部分电路D

Q

C

CLRC

FSD

CLK2EEND CLK1CLR

START

FIN

图4.2 测频与测周期控制部分电路

计数部件设计

图中的计数器CNT1/CNT2是32位二进制计数器,通过DSEL 模块的控制,单片机可分4次将其32位数据全部读数。 4.2.3 脉冲宽度测量和占空比测量模块设计

根据上述脉宽测量原理,设计如图(CONTRL )所示的电路原理示意图。该信

号的上沿和下沿信号对应于未经处理时的被测信号50%幅度时的上沿和下沿.被测信号从FIN 端输入,CLR 为初始化信号

13.6 脉冲宽度测量原理图

FIN START

CLR

D

Q

C

VCC

D

Q

C

VCC

D

Q

C

CONTRL2

VCC

ENDD

PUL QQ2QQ3PL END

GT

图4.3 脉冲宽度测量原理图 ① 测量脉冲宽度的工作步骤如下:

② 向CONTRL 的CLR 端送一个脉冲以便进行电路的工作状态初始化.

③ 将GATE 的CNL 端置高电平,表示开始脉冲宽度测量,这时CNT2的输入信号为FSD.

④ 在被测脉冲的上沿到来时,CONTRL2的PUL 端输出高电平,标准频率信号进入计数器CNT2.

⑤ 在被测脉冲的下沿到来时,CONTRL2的PUL 端输出低电平,计数器CNT2被关断. ⑥ 由单片机读出计数器CNT2的结果,并通过上述测量原理公式计算出脉冲宽度. CONTRL2子模块的主要特点是:电路的设计保证了只有CONTRL2被初始化后才能工作,否则PUL 输出始终为零.只有在先检测到上沿后PUL 才为高电平,然后在检测到下沿时,PUL 输出为低电平:ENDD 输出高电平以便通知单片机测量计数已经结束:如果先检测到下沿,PUL 并无变化;在检测到上沿并紧接一个下沿后,CONTRL2不再发生变化直到下一个初始化信号到来.占空比的测量方法是通过测量脉冲宽度记录CNT2的计数值N1,然后将输入信号反相,再测量脉冲宽度,没得CNT2计数值N2则可以计算出:占空比=N1/(N1+N2)*100% 4.2.4 电路显示模块

系统硬件电路中,单片机MCU 与FPGA 进行数据交换占用了P0口、P1口和P3口,因此数据显示电路的设计采用静态显示的方式,显示电路由8个共阳极七段

数码管和8片1位串入8位并出的74LS164芯片组成。

V

VCC a b c

d e f

g dp

com a f e g b c d dp 12345678a b c d e f g dp U11DPY R11 k ΩQ 0Q 1Q 2Q 3Q 4Q 5Q 6Q 7

A B

C L K M R

345610111213

d p g f

e d c b a

12

RXD TXD

74LS164

a b c

d e f

g dp

com a f e g b c d dp 12345678a b c d e f g dp U12DPY R21 k ΩQ 0Q 1Q 2Q 3Q 4Q 5Q 6Q 7

A B

C L K M R

345610111213

d p g f

e d c b a

12

74LS164

a b c

d e f

g dp

com a f e g b c d dp 12345678

a b c d e f g dp U13DPY R31 k ΩQ 0Q 1Q 2Q 3Q 4Q 5Q 6Q 7

A B

C L K M R

345610111213

d p g f

e d c b a

12

74LS164

a b c

d e f

g dp

com a f e g b c d dp 12345678a b c d e f g dp U17DPY R71 k ΩQ 0Q 1Q 2Q 3Q 4Q 5Q 6Q 7

A B

C L K M R

345610111213

d p g f

e d c b a

12

74LS164

a b c

d e f

g dp

com a f e g b c d dp 12345678

a b c d e f g dp U18DPY R81 k ΩQ 0Q 1Q 2Q 3Q 4Q 5Q 6Q 7

A B

C L K M R

345610111213d p g f e d c b a

12

74LS164

U1U2U3U7U8

+5 V

VCC

89

89

89

......

89

89

+5 图4.4 显示电路图

这种显示方式不仅占用单片机端口少,而且充分利用了单片机的资源,容易掌握其编码规律,简化了软件编程,在实验过程中,也体现出较高的可靠性。数据显示电路如图4.4所示。

74LS164是一种8位高速串入/并出的移位寄存器,随着时钟信号的高低变化,串行数据通过一个2输入与门同步的送入,使用独立于时钟的主控复位端让寄存器的输出端变为低电平,并且采用肖特基钳位电路以达到高速运行的目的。并且还具有以下的特点:①典型的35MHZ 移位频率;②异步主控复位;③门控串行输

入;④同步数据传输;⑤采用钳位二极管限制高速的终端;⑥静电放电值大于3500V。

在本系统中,74LS164的连接方式为:74LS164的输出Q0~Q7分别接LED 数码管的dp、g、f、e、d、c、b、a,并且Q7连接下一个74LS164的A,B端,时钟CLK连接单片机的TXD端,第一片芯片的AB端连接单片机的RXD端,74LS164芯片的主控复位端接高电平VCC。在这种状态下,数码管的编码如下表所示。

4.2.5 数码管的编码表

显示数码段 码显示数码段 码

0 0c0h 8 80h

1 0f9h 9 90h

2 0a4h A 88h

3 0b0h B 83h

4 99h C 0c6h

5 92h D 0a1h

6 82h E 86h

7 0f8h F 8eh

5 单片机控制与运算程序的设计

5.1 AT89C51RC单片机简介

AT89C5lRC是在AT89C52基础上开发的新型高档单片机。

它的主要特性是:

片内含有 32 KB的 Flash程序存储器,擦写周期为 1000次;

片内数据存储器内含512字节的RAM;

具有可编程32线I/O口(P0,P1,P2和P3口);

具有3个可编程定时器T0,T1和T2;

中断系统是具有8个中断源、6个中断矢量、2级中断优先权的中断结构;

具有一个全双工 UART串行口;

低功耗工作方式为空闲模式和掉电模式;

具有双数据指针DPTR0和DPTR1;

具有3级程序锁定位;

具有硬件看门狗定时器WDT;

AT89C51RC工作电源为4.0~5.5V(AT89LV51RC为2.7~5.5V);

AT89C51RC最高工作频率为33MHZ(AT89LV51RC为12MHZ);

具有断电标志POF.

与AT89C52相比,AT89C5lRC具有如下特点:

程序存储器由 8 KB增加到32 KB;

片内数据存储器由256字节增加到512字节;

数据指针由1个增加到2个;

增加了看门狗定时器,CPU在执行程序过程中,由于瞬时的干扰使程序陷入死循环环状态,WDT(Watchdog Timer)是使CPU摆脱这种困境而自动恢复的一种方法;

退出掉电方式由单纯硬件复位方式增加到硬件复位和中断两种方式;

新增加了断电标志POF.

89C51RC的内部框图

振荡器和时钟电路

CPU 总线扩展控制器

程序存储器(4KB)

并行可编程

I/O 口

中断控制可编程串行口

两个16位

定时器/计数器

数据存储器(128字节)

外时钟源外部事件计数内

中断P0 P1 P2 P3

外部中断

扩展控制

RXD TXD

内部总线

图5.1 89C51RC 的内部框图

引脚排列及功能

AT89C51RC 有3种封装形式:PDIP .PLCC 和TQFP .PDIP 封装的引脚排列如图所示。

图5.2 AT89C51RC引脚图

1I/O口线

P0口——8位、漏极开路的双向 1/O口。

当使用片外存储器及外扩 I/O口时,P0口作为低字节地址/数据复用线。在编程时,P0口可用于接收指令代码宇节;在程序校验时,P0口可输出指令字节(这时需要加外部上拉电阻)。

P0口也可作通用I/O口使用.但需加上拉电阻.变为准双向口。当作为普通输入时,应将输出锁存器置1. PO口可驱动8个 TTL负载. P1口——8位、准双向I/O口,具有内部上拉电阻。

P1口是为用户准备的 1/O双向口。在编程和校验时,可用做输入低8位地址。用做输入时,应先将输出锁存器置1. P1口可驱动4个TTL负载。

P1.0 P1.1替代功能

引 脚 替代功能 说 明

P1.0

T2

定时器2的外部事件输入端;可编脉冲输出端

P1.1 T2EX

定时器 2的捕捉/重装触发器输入端定时器2的计数方向控制端

P2口——8位、准双向 1/O 口.具有内部上位电阻.

当使用片外存储器或外扩1/O 口时.P2口输出高8位地址。在编程/校验时.P2口可接收高字节地址和某些控制信号。

P2口也可作普通I /O 口使用。用做输入时,应先将输出锁存器置1. P2口可驱动4个TTL 负载。

P3口——8位、准双向 1/O 口,具有内部上拉电阻。

P3口可作为普通1/O 口。用做输入时,应先将输出锁存器置 1.在编程/校验时.P3口接收某些控制信号。它可驱动4个TTL 负载。

P3口还提供各种替代功能。 P3口替代功能

P3.0 RXD (串行输入口) P3.1 TXD (串行输出口)

P3.2 INT0——

(外部中断0)

P3.3 INT1——

(外部中断1) P3.4 T0(记时器0外部输入) P3.5 T1(记时器1外部输入)

P3.6 WR ——

(外部数据存储器写选通)

P3.7

RD ——

(外部数据存储器读选通)

2.控制信号线

RST ——复位输入信号,高电平有效。在振荡器稳定工作时,在RST 脚施加两个机器周期(即24个晶振周期)以上的高电平,将器件复位。

EA ——

/VPP ——外部程序存惜器访问允许信号EA (External Access Enable )。

当EA ——信号接地时,对ROM 的读操作限定在外部程序存储器,地址为0000H ~FFFFH ;当EA ——

接地时,对ROM 的读操作从内部程序存储器开始,并可延续

至外部程序存储器。

在编程时,该引脚可接编程电压(AT89C51的VPP 为5V 或12V ;AT89LV51的VPP 为12 V )。在编程校验时,该引脚可接VCC 。

PSEN ————

——片外程序存储器读选通信号用PSEN(Program Store Enable),低电平有效。

在片外程序存储器取指期间,当PSEN ————

有效时,程序存储器的内容被送至 PO 口(数据总线);在访问外部RAM 时,PSEN ————无效。

AIE/PROG ————

——低字节地址锁存信号 AlE (Address latch Enable )。 在系统扩展时,AlE 的下降沿将PO 口输出的低8位地址锁存在外接的地址锁存器中,以实现低字节地址和数据的分时传送。此外,ALE 端连续输出正脉冲.频率为晶振频率的1/6,可用做外部定时脉冲使用。但要注意,每次访问外RAM 时要丢失一个ALE 脉冲。在编程期间,该引脚输入编程脉冲(PROG ————

)。

3.电原线

VCC ——电源电压输入。 GND ——接地。 4.外部晶振引线

XTAL1——片内振荡器反相放大器和时钟发生线路的输入端。使用片内振荡器时,连接外部石英晶体和微调电容。

XTAL2——片内振荡器反相放大器的输出端。当使用片内振荡器时,外接石英晶体 和微调电容。

当使用外部振荡器时,引脚XTAL1接收外振荡器信号,XTAIL2悬空。 AT89C5lRC 有两种低功耗节电模式:空闲模式和掉电模式。 1.空闲模式

应用软件使PCON 中的IDL =l ,系统便可进入空闲模式.由于PCON 不可位寻址,进入空闲模式可采用如下指令: MOV A ,PCON

SETB ACC ,0 ;IDL=l MOV PCON ,A

系统进入空闲模式后,CPU 处于休眠状态.振荡器和片内外围单元(中断系统、定时器、I /O 口、串行口)仍然有效.片内RAM 和SFR 中的内容保留不变。

退出空闲模式有两种方法:任何一个有效的中断和便件复位.退出空闲模式后,IDL 由片内硬件自动清0。

2.掉电模式

执行一条指令,使PD=1,系统便进入掉电模式。进入掉电模式后,振荡器停止工作.掉电模式下.片内RAM 和SPR 中的内容保持不变.

退出掉电模式有两种方法:硬件复位和允许的外部低电平中断(INT0和INT1)。硬件复位过程不改变片内RAM 的内容,但要重新设量SFR 的内容.仅当VCC 恢复到正常操作值,且振荡器达到稳定后,复位有效.

复位退出掉电模式时,系统首先从断点处恢复执行程序,直到复位控制逻辑有效。这个过程长达2个机器周期。在复位有效之前,片内有硬件控制电路防止写入片内RAM ,即在复位过渡期间片内RAM 内容受到保护,但并不保护SFR 的内容。因此,在进入掉电模式指令(使PD=l 的指令)的后面,不要紧随写入1/O 端口或写入片外RAM 的指令。 主要单片机控制与运算程序流程图:

图13.11 测周期子程序流程图

测周期子程序

调用测频率子程序

周期T =1000000/f x

( s)LCALL HEXBCD2

LED8←"p"

LCALL DISP

返回

图5.3 测周期子程序流程图

图13.7 主程序流程图

Y

N

Y N

Y

Y Y

N

Y

N

Y

有键按下吗?

有键按下吗?

有键按下吗?

清标志位

清标志位清标志位调测周期子程序置标志位是测周期键吗?

是测脉宽键吗?

调测脉宽子程序调测占空比子程序Y 置标志位是测占空比键吗?

Y 置标志位N

N

有键按下吗?

是测频键吗?

N

调测频率子程序有键按下吗?调显示子程序

清显示缓冲区,送初始显示内容 'F'

CHOICE←1

P2←0

SP←60H 清内存

开始

N

N

图5.4 主程序流程图

图13.8 显示子程序流程图N

Y N

Y N

Y

Y

显示子程序R0←#7FH R1←8

是MHz 的小数点?

N

是占空比的小数点?

查表

字型码添加小数点

查表

SBUF←A

传送完1字节?

清TI 标志位R1=R1-1R1=0?返回

图5.5 显示子程序流程图

2位十进制高精度数字频率计设计

广州大学学生实验报告 实验室:电子信息楼 317EDA 2017 年 10 月 2 日 学院机电学院年级、专 业、班 电信 151 姓名苏伟强学号1507400051 实验课 程名称 可编程逻辑器件及硬件描述语言实验成绩 实验项 目名称 实验4 2位十进制高精度数字频率计设计指导老师 秦剑 一实验目的 1 熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。 2 完成2位十进制频率计的设计,学会利用实验系统上的FPGA/CPLD验证较复杂设计项目的方法。 二实验原理 1 若某一信号在T秒时间里重复变化了N 次,则根据频率的定义可知该信号的频率fs 为:fs=N/T 通常测量时间T取1秒或它的十进制时间。 三实验设备 1 FPGA 实验箱,quarteus软件 四实验内容和结果 1 2位十进制计数器设计 1.1 设计原理图:新建quarteus工程,新建block diagram/schematic File文件,绘制原理图,命名为conter8,如图1,保存,编译,注意:ql[3..0]输出的低4位(十进制的个位), qh[3..0]输出的高4位(十进制的十位) 图片11.2 系统仿真:如图2建立波形图进行波形仿真,如图可以看到完全符合设计要求,当clk输入时钟信号时,clr有清零功能,当enb高电平时允许计数,低电平禁止计数,当低4位计数到9时向高4位进1 图2 1.3 生成元件符号:File->create/updata->create symbol file for current file,保存,命名为conter8,如图3为元件符号(block symbol file 文件): 图3 2 频率计主结构电路设计 2.1 绘制原理图:关闭原理的工程,新建工程,命名为ft_top,新建原理图文件,在project navigator的file 选项卡,右键file->add file to the project->libraries->project library name添加之前conters8工程的目录在该目录下,这样做的目的是因为我们会用到里面的conters8进行原理图绘制,绘制原理图,如图4,为了显示更多的过程信息,我们将74374的输出也作为output,重新绘制了原理图,图5 图4

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

等精度频率计设计C程序

1 #include 2 #include 3 #include 4 #include 5 #include 6 #define uchar unsigned char 7 #define uint unsigned int 8 #define ulong unsigned long 9 10 code uchar m[]={0xFC,0x60,0xDA,0xF2,0x66,0xB6,0xBE,0xE0,0xFE,0xF6,0x00}; 11 // 0 1 2 3 4 5 6 7 8 9 灭 12 data uchar NS[]={0x0,0x0,0x0,0x0};//标准频率计数 13 data uchar NX[]={0x0,0x0,0x0,0x0};//待测频率计数 14 data ulong NSS,NXX,MM,F; 15 data ulong temp1,temp2; 16 data uchar shuju[]={0,0,0,0,0,0,0,0};//数码管数据 17 sbit CLR = P2^3; 18 sbit SEL2 = P2^2; 19 sbit SEL1 = P2^1; 20 sbit SEL0 = P2^0; 21 sbit CL = P2^4; 22 sbit START = P2^7; 23 //int i,j,k,l; 24 char *pNS; //清零 25 char *pNSS; 26 char *pNX; 27 char *pNXX; 28 29 void delay(uint x); 30 void display(); 31 void operation(); 32 void outdata(); 33 34 long powcyc(long c1,long c2) 35 { 36 long c3=1; 37 uchar ii; 38 for(ii=0;ii

高精度单片机频率计的设计

《综合课程设计》 一.数字频率计的设计 姓名:万咬春学号2005142135 一、课程设计的目的 通过本课程设计使学生进一步巩固光纤通信、单片机原理与技术的基本概念、基本理论、分析问题的基本方法;增强学生的软件编程实现能力和解决实际问题的能力,使学生能有效地将理论和实际紧密结合,拓展学生在工程实践方面的专业知识和相关技能。 二、课程设计的内容和要求 1.课程设计内容 (硬件类)频率测量仪的设计 2.课程设计要求 频率测量仪的设计 要求学生能够熟练地用单片机中定时/计数、中断等技术,针对周期性信号的特点,采用不同的算法,编程实现对信号频率的测量,将测量的结果显示在LCD 1602 上,并运用Proteus软件绘制电路原理图,进行仿真验证。 三.实验原理 可用两种方法测待测信号的频率 方法一:(定时1s测信号脉冲次数) 用一个定时计数器做定时中断,定时1s,另一定时计数器仅做计数器使用,初始化完毕后同时开启两个定时计数器,直到产生1s中断,产生1s中断后立即关闭T0和T1(起保护程序和数据的作用)取出计数器寄存器内的值就是1s内待测信号的下跳沿次数即待测信号的频率。用相关函数显示完毕后再开启T0和T1这样即可进入下一轮测量。 原理示意图如下:

实验原理分析: 1.根据该实验原理待测信号的频率不应该大于计数器的最大值65535,也就是说待测信号应小于65535Hz。 2.实验的误差应当是均与的与待测信号的频率无关。 方法二(测信号正半周期) 对于1:1占空比的方波,仅用一个定时计数器做计数器,外部中断引脚作待测信号输入口,置计数器为外部中断引脚控制(外部中断引脚为“1”切TRx=1计数器开始计数)。单片机初始化完毕后程序等待半个正半周期(以便准确打开TRx)打开TRx,这时只要INTx (外部中断引脚)为高电平计数器即不断计数,低电平则不计数,待信号从高电平后计数器终止计数,关闭TRx保护计数器寄存器的值,该值即为待测信号一个正半周期的单片机机器周期数,即可求出待测信号的周期:待测信号周期T=2*cnt/(12/fsoc) cnt为测得待测信号的一个正半周期机器周期数;fsoc为单片机的晶振。所以待测信号的频率f=1/T。 原理示意图如下: 实验原理分析: 1.根据该实验原理该方法只适用于1:1占空比的方波信号,要测非1:1占空比的方波信号 2.由于有执行f=1/(2*cnt/(12/fsoc))的浮点运算,而数据类型转换时未用LCD 浮点显示,故测得的频率将会被取整,如1234.893Hz理论显示为1234Hz,测 得结果会有一定程度的偏小。也就是说测量结果与信号频率的奇偶有一定关 系。 3.由于计数器的寄存器取值在1~65535之间,用该原理时,待测信号的频率小于单片机周期的1/12时,单片机方可较标准的测得待测信号的正半周期。故用 该原理测得信号的最高频率理论应为fsoc/12 如12MHZ的单片机为1MHz。 而最小频率为f=1/(2*65535/(12/fsoc))如12MHZ的单片机为8Hz。 四.实验内容及步骤 1. 仿真模型的构建 数字方波频率计的设计总体可分为两个模块。一是信号频率测量,二是将测得的频率数据显示在1602液晶显示模块上。因此可搭建单片机最小系统构建构建频率计的仿真模型。原理图,仿真模型的总原理图如下:

基于单片机的数字频率计设计

江阴职业技术学院 毕业论文 课题:基于单片机的数字频率计的设计 专业电子信息工程 学生姓名冯海洋 班级08电子信息工程(1)班 学号20080305107 指导教师张文洁 完成日期

目录 摘要?错误!未定义书签。 前言................................................................................................... 错误!未定义书签。第一章绪论............................................................................................... 错误!未定义书签。 1.1课题背景?错误!未定义书签。 1.2 课题研究的目的和意义 ................................................................. 错误!未定义书签。 1.4数字频率计设计的任务与要求?错误!未定义书签。 第二章数字频率计总体方案设计............................................................... 错误!未定义书签。 1.1方案比较 .......................................................................................... 错误!未定义书签。 1.2方案论证......................................................................................... 错误!未定义书签。 1.3方案选择......................................................................................... 错误!未定义书签。 第三章数字频率计的硬件系统设计........................................................... 错误!未定义书签。 3.1数字频率计的硬件系统框架...................................................... 错误!未定义书签。 3.2 数字频率计的主机电路设计?错误!未定义书签。 3.3数字频率计的信号输入电路设计................................................... 错误!未定义书签。 3.4数字频率计显示电路的设计 ........................................................... 错误!未定义书签。 3.5数字频率计的计数电路的设计?错误!未定义书签。 3.6数字频率计电源模块的设计?错误!未定义书签。 第四章数字频率计软件系统设计?错误!未定义书签。 4.1 软件设计规划................................................................................. 错误!未定义书签。 4.1.1信号处理............................................................................ 错误!未定义书签。 4.1.2中断控制................................................................................. 错误!未定义书签。 4.2.1定时器/计数器?错误!未定义书签。 4.2.2定时工作方式0..................................................................... 错误!未定义书签。 4.3程序流程图设计................................................................................ 错误!未定义书签。

单片机频率计课程设计

贵州大学课程设计 任务要求 运用所学单片机原理、、模拟和数字电路等方面的知识,设计出一个数字频率计。数字频率计要求如下: 1)能对0~50kHz的信号频率进行计数; 2)频率测量结果通过4位数码管显示(十进制)。 二、课程设计应完成的工作 1)硬件部分包括微处理器(MCU)最小系统(供电、晶振、复位)、频率测量和数码管显示部分; 2)软件部分包括初始化、频率计算、显示等; 3)用PROTEUS软件仿真实现; 4)画出系统的硬件电路结构图和软件程序框图; 内容摘要 1.数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。 2.采用12 MHz的晶体振荡器的情况下,一秒的定时已超过了定时器可提供的最大定时值。为了实现一秒的定时,采用定时和计数相结合的方法实现。选用定时/计数器TO作定时器,工作于方式1产生50 ms的定时,再用软件计数方式对它计数20次,就可得到一秒的定时。

贵州大学课程设计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 1.2任务分析与设计思路 频率的测量实际上就是在1s时间内对信号进行计数,计数值就是信号频率。在本次设计使用的AT89C51单片机,本身自带有定时器和计数器,单片机的T0、T1两个定时/计数器,一个用来定时,另一个用来计数,定时/计数器的工作由相应的运行控制位 TR 控制 ,当 TR 置 1 ,定时/ 计数器开始计数 ;当 TR 清 0 ,停止计数。在定时1s里,计数器计的脉冲数就是频率数,但是由于1s超过了A T89C51的最大定时,因此我们采用50ms定时,在50ms 内的脉冲数在乘以14就得到了频率数,在转换为十进制输出就可。

基于5单片机的数字频率计设计

基于5单片机的数字频率计设计

毕业论文基于51单片机的数字频率计 基于51单片机的数字频率计 目录 第1节引言 (2) 1.1数字频率计概 述…………………………………………… (2) 1.2频率测量仪的设计思路与频率的计 算…………………………………………… (2) 1.3基本设计原 理…………………………………………… (3) 第2节数字频率计(低频)的硬件结构设计 (4) 2.1系统硬件的构成 (4) 2.2系统工作原理图 (4) 2.3AT89C51单片机及其引脚说明…………………………………………………

(5) 2.4信号调理及放大整形模块 (7) 2.5时基信号产生电路 (7) 2.6显示模块 (8) 第3节软件设计 (12) 3.1 定时计数 (12) 3.2 量程转换 (12) 3.3 BCD转换 (12) 3.4 LCD显示…………………………………………………

(12) 第4节结束语 (13) 参考文献 (14) 附录汇编源程序代码 (15) 基于51单片机的数字频率计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量范围从1Hz—10kHz的正弦波、方波、三角波,时基

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

基于AT89C52单片机的简易频率计设计说明书

单片机系统开发与应用工程实习报告 选题名称:基于AT89C52单片机的简易频率计设计 系(院): 专业:计) 班级: 姓名:学号: 指导教师: 学年学期: 2009 ~ 2010 学年第 2 学期 2010 年 5 月 30 日

摘要: 在电子技术中,频率是一个经常用到的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本项目主要阐述了以AT89C52单片机作为核心器件,采用模块化布局,设计一个简易数字频率计,以达到测量频率并进行显示的目的。本项目利用单片机的内部定时器溢出产生中断来实现定时,把单片机内部的定时/计数器0作为定时器,实现2.5ms定时。外部待测脉冲从单片机的TI(第15引脚)输入,以定时/计数器1作为计数器,利用中断方式来达到间接测量的目的。最后采用四位数码管显示。本设计采用C语言进行软件编程,用keil软件进行调试。最后把调试成功后的程序固化到AT89C52单片机中,接到预先焊好的电路板上,接上待测脉冲,通电运行,数码管成功显示待测脉冲频率。 关键词:单片机;频率计;AT89C52

目录 1 项目综述 (1) 1.1 设计要求 (1) 1.2 系统设计 (1) 2硬件设计 (2) 2.1 电路原理图 (2) 2.2 元件清单 (2) 2.3 主要芯片引脚说明 (3) 3 软件设计 (4) 3.1 程序流程图 (4) 3.2 软件设计简述 (5) 3.3 程序清单 (6) 4 系统仿真及调试 (10) 4.1 硬件调试 (10) 4.2 软件调试 (10) 5 结果分析 (10) 总结 (11) 参考文献 (12)

等精度数字频率计的设计

等精度数字频率计的设计 李艳秋 摘要 基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 关键词等精度测量,单片机,频率计,闸门时间,FPGA Ⅱ

ABSTRACT Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array Ⅱ

基于单片机的频率计的设计

摘要 本方案主要以单片机为核心,主要分为时基电路,逻辑控制电路,放大整形电路,闸门电路,计数电路,锁存电路,译码显示电路七大部分,设计以单片机为核心,被测信号先进入信号放大电路进行放大,再被送到波形整形电路整形,把被测的正弦波或者三角波整形为方波。利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。 本设计以89C51单片机为核心,应用单片机的算术运算和控制功能并采用LED数码显示管将所测频率显示出来。系统简单可靠、操作简易,能基本满足一般情况下的需要。既保证了系统的测频精度,又使系统具有较好的实时性。本频率计设计简洁,便于携带,扩展能力强,适用范围广。 关键词:单片机,运算,频率计,LED数码管

Abstract The program mainly microcontroller as the core, are divided into time-base circuit, the logic control circuit, amplifier shaping circuit, the gate circuit, the counting circuit, latch circuit, decoding circuit most of the seven shows, design a microcontroller as the core, the measured signal the first amplifier to amplify the incoming signal, and then was sent to the waveform shaping circuit surgery, the measured sine wave or triangle wave shaping as a square wave. Counter and timer microchip features of the signal count. Write the corresponding program can automatically adjust the measurement range of SCM, and the frequency of the measured data to the display circuit displays. The design of the 89C51 microcontroller core, microcontroller applications and control functions and arithmetic operations with LED digital display tube to the measured frequency is displayed. System is simple, reliable, easy to operate and can basically meet the general needs. Both to ensure the accuracy of the system frequency measurement, but also the system has good real-time. The frequency meter design is simple and easy to carry, expansion capability, wide application. Key words:microcontroller, operation, frequency meter, LED digital tube

基于单片机的频率计设计学习资料

基于单片机的频率计 设计

基于单片机的频率计设计 摘要:数字频率计是现代科研生产中不可或缺的测量仪 器,它以十进制数显示被测频率,基本功能是测量正弦信号,方波信号,及其它各种单位时间内变化的物理量。 本系统采用AT89C52单片机智能控制,结合外围电子电 路,设计的频率计性能稳定。在软件设计上采用了单片机 的C语言设计,通过单片机内部定时/计数器同时动作,在 测量频率时将测频率和测周期相结合,提高了频率计的测量 准确性。测量结果在LCD1602上输出显示,结果精 确到整数位。频率计的软件设计,系统软件设计简单明了, 适用于测量频率从1~99999Hz的脉冲信号。 关键词: AT89C52单片机数字频率计 74LS74 NE555 LCD1602 一、引言 1.1 数字频率计的发展和意义 随着电子技术的飞速发展,各类分立电子元件及其所构 成的相关功能单元已逐步被功能更强大、性能更稳定、使 用更方便的集成芯片所取代。由集成芯片和一些外围电路 构成的各种自动控制、自动测量自动显示电路遍及各种电

子产品和设备已广泛应用于各个领域,更新换代速度可谓日新月异。 在电子系统广泛的应用领域中,到处看见处理离散信息的数字电路。供消费用的冰箱、电视、航空通讯系统等设计过程中都用到数字技术。数字频率计是现代通信测量设备系统中不可缺少的测量仪器,不但要求电路产生频率准确的和稳定度高的信号,而且能方便的改变频率。 与传统的测量方式相比,运用了单片机频率计有着体积更小,运算速度更快,测量范围更宽和制作成本更低的优点。由于传统的频率计中有许多功能是依靠硬件来实现的,而采用单片机测频率后,有许多以前需要用硬件才能实现的功能现在仅仅依靠软件编程来实现,而且不同的软件编程代码能够实现不同的功能,从而大大降低了制作成本。 数字频率计主要实现方法有直接式、锁相式、直接数字式和混合式四种。直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只用于地面雷达中。锁相式和直接数字式都同时具有容易实现产品系列化、小型化、模块化和工程化特点,其中,锁相式更是以其容易实现相位同步的自动控制且低功耗的特点成为众多业内人士的首选,应用最为广泛。

频率计测试中的精度计算

频率计测试中的频率计测试中的精度精度精度计算计算 1. 背景 在测试测量中测试精度一直是最为关心的问题。频率计作为高精度的频率和时间测试仪表,测试精度高于普通的频谱仪和示波器,所以测试精度的计算就更加为人关注。影响测试精度,或者说产生误差的因素很多,而其中最主要的因素是仪表内部时基稳定度、分辨率、触发精度及内部噪声等。频率计可以用来测试如频率、周期、相位、脉冲等,而其中频率和周期的测试占有绝大部分比例,本文主要讨论频率和周期的测试精度计算问题。 2. 频率和周期的测试精度 频率和周期互为倒数,所以在频率计的测试中,频率和周期的误差计算方法是一样的。从测试误差的产生来说主要有两类,一类是随机误差,一类是系统误差。随机误差主要由于如噪声或者一些随机因素产生的误差,很难消除。系统误差主要是由于测试方法、仪表设置或者仪表性能引起的误差。不同的设备制造商都有自己的关于误差的计算方法,大同小异,本文论述泰克FCA3000系列频率计测试误差的计算方法。 总误差: (U tot) ( 1 ) rand uncert : 随机误差 syst uncert: 系统误差 在测试频率或者周期时,我们可以通过以下公式计算随机误差和系统误差: 随机误差的计算随机误差的计算:: 当测试时间 Measuring Time < 200ms 时: ( 2 ) 当测试时间 Measuring Time > 200ms 时: ( 3 ) N = 800/Measuring Time (测试时间),同时 6 <= N <= 1000 并且 N < (Freq/2)*Measuring Time - 2 其中: Eq = 100 ps ( RMS) , Ess = Start Trigger Error

基于51单片机的简易频率计设计lsy

毕业设计 题目:基于51单片机的简易频率计设计专业: 班级: 姓名:学号: 指导老师:

目录 第1节引言 (2) 1.1频率计概述 (2) 1.2频率度量仪的设计思路与频率的计算 (2) 1.3基本设计原理 (3) 第2节频率计(低频)的硬件结构设计 (4) 2.1系统硬件的构成 (4) 2.2系统工作原理图 (4) 2.3AT89C51单片机及其引脚说明 (5) 2.4信号调理及放大整形模块 (7) 2.5时基信号产生电路 (7) 2.6显示模块 (8) 第3节软件设计 (12) 3.1 定时计数 (12) 3.2 量程转换 (12) 3.3 BCD转换 (12) 3.4 LCD显示 (12) 第4节结束语 (13) 参考文献 (14)

摘要 我的这个毕业作品简易频率计开发目的是要把上课中学到的专业知识与一些实践,提高我自己的能力水平。用这些方法让我自己有更好的思维逻辑,可以做出更好的设计,活学活用把知识变成现实。在我的毕业设计中通过自己的发觉、老师的帮助、同学之间的讨论,最后要通过科学的方法来排除设计过程中的坎坷,提高自己能够快速判断问题故障、排除问题、修复问题,积累各方面的开发设计系统的经验,充分发挥出教学与实践的结合。全面提高自身对系统开发的综合能力,开拓设计思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 关键字:单片机、开发、开拓思维 Abstract My graduate work that the aim is to develop secondary school knowledge, as well as read the relevant literature to strengthen the capacity of my own self. I have a guide through the efforts of innovative thinking ideas, the classroom teacher to impart knowledge to our daily lives. Design aspects of my work, the continuous learning, thinking and interactive discussion between the students learn from each other, analyze problems using scientific methods to solve the difficulties encountered, master SCM system design and development related to the production process, allow yourself to understand that for treatment of common problems, the accumulation of experience in all aspects of the development and design of the system, give full play to the combination of teaching and practice. Comprehensively improve their overall capacity of the system development, development of design thinking for the future work on the corresponding work has laid a solid foundation. Keywords: SCM, development, pioneering thinking

单片机的频率计设计(设计)

目录 一、引言0 1.1 数字频率计的发展和意义0 1.2 数字频率国内外的发展形势0 二、系统总体设计2 2.1 系统设计要求2 2.2 测频方法2 2.3 系统设计思路2 2.4 系统设计框图3 三、系统硬件设计3 3.1 单片机模块3 3.1.1 AT89C52介绍 (3) 3.1.2 单片机引脚分配 (4) 3.1.3 复位电路 (4) 3.1.4 定时/计数器 (5) 3.2 电源模块6 3.2.1 电源变压器 (6) 3.2.2 整流电路 (6) 3.2.3 滤波电路 (7) 3.2.4 稳压电路 (7) 3.2.5 电源模块原理图 (8) 3.3 放大整形模块8 3.3.1 与非门74LS00 (8) 3.3.2 放大整形模块原理图 (9) 3.4 分频模块9 3.4.1 分频器74LS161芯片 (9) 3.4.2 多路选择器74LS151芯片 (10) 3.4.3 分频模块原理图 (10) 3.5 显示电路11 3.5.1 频率数值显示电路 (12)

3.5.2 频率数值单位显示电路 (12) 四、系统软件设计12 4.1 开始13 4.2 初始化模块13 4.3 频率测量模块和量程自动切换模块13 4.4 显示模块14 4.5 延时模块15 五、数字频率计仿真15 5.1 电源模块仿真15 5.2 放大整形电路仿真16 5.2.1 仿真软件 MULTISIM 10.0仿真整形电路 (16) 5.2.2 仿真放大整形电路 (17) 5.3 频率计仿真18 5.3.1 用KEIL软件 (18) 5.3.2 使用软件Proteus仿真频率计 (18) 5.3.3 频率计仿真运行调试 (20) 六、结论错误!未定义书签。 参考文献错误!未定义书签。 致谢错误!未定义书签。 附录A错误!未定义书签。 程序源代码错误!未定义书签。 附录B错误!未定义书签。 仿真效果图错误!未定义书签。

相关文档
最新文档