三位半数字电压表课程设计报告

三位半数字电压表课程设计报告
三位半数字电压表课程设计报告

. -

建筑工程学院

电子技术课程设计报告

设计题目:三位半数字电压表

学院:

专业:

班级:

姓名:

学号:

指导教师:

设计时间:

目录

一、设计目的错误!未定义书签。

二、功能要求与技术指标错误!未定义书签。

三、方案设计及论证 (2)

3.1方案一基于MC14433的数字电压表 (2)

3.2方案二基于AT89352单片机的数字电压表 (2)

3.3方案三基于ICL7106的数字电压表 (3)

四、方案比较及分析 (3)

五、电路各部分原理说明 (4)

1、电压衰减电路 (4)

2、单相桥式整流滤波电路 (4)

3、基准电压模块 (4)

4、 3 1/2位A/D电路模块 (5)

5、显示电路模块 (7)

6、所有元件明细表 (7)

六、实验原理 (8)

七、电路的安装与调试 (12)

八、总电路原理图 (13)

九、实践总结与心得 (14)

十、参考文献

三位半数字电压表

一、设计目的

课程设计主要目的,是通过电子技术的综合设计,熟悉一般电子电路综合设计过程、设计要求、应完成的工作容和具体的设计方法。通过设计也有助于复习、巩固以往的学习模电、数电容,达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强动手能力。在此过程中培养从事设计工作的整体观念。

课程设计应强调以能力培养为主,在独立完成设计任务同时注意多方面能力的培养与提高,主要包括以下方面:

独立工作能力和创造力。

综合运用专业及基础知识,解决实际工程技术问题的能力。

查阅图书资料、产品手册和各种工具书的能力。

熟悉常用电子仪器操作使用和测试方法;

电路检测与故障排查能力。

工程绘图能力。

写技术报告和编制技术资料的能力。

二、功能要求与技术指标

1、利用所学过数字电子技术和模拟电子技术知识,通过上网或到图书馆查阅资料,设计出2-3个实现数字电压表的方案;只要求写出实现工作原理,画出电原理功能图,描述其功能。

2、对将要实验方案3 1/2位数字电压表方案,须采用中、小规模集成电路、ICL7107A/D转换器等电路进行设计,写出已确定方案详细工作原理,计算出参数。

3、技术指标:

①测量直流电压1999-1V;199.9-0.1V;19.99-0.01V;1.999-0.001V;

测量交流电压1999-199V

②要求三位半显示

三、方案设计及论证

3.1方案一MC14433的数字电压表

此方案是对电压量进行测试并显示的数字电路。对于交流可以采用桥式整流,通过电阻分压,再用放大器放大,把平均值转换为有效值,最后输送给双积分型A/D转换器MC14433 Vx测试输入端。再通过CD4511七段锁存/译码器送到LED显示,完成

电压的测试。MC14433基准电压VREF可由恒压源提供,芯片本身有两个量程2V、200mA 此时对应电阻为470KΩ、27 KΩ。

3.2方案二基于AT89C52单片机数字电压表

根据系统功能实现要求,决定控制系统采用AT89C52单片机,A/D转换采用ADC0809、四个共阴极LED数码管。系统除能确保实现要求的功能外,还可以方便地进行8路其他A/D转换量的测量、远程测量结果传送等扩展功能。原理框图如下:

3.3方案三ICL7107数字电压表

根据系统功能实现要求,决定控制系统采用ICL7107、两个共阳极LED数码管。原理框图如下:

图3-3 基于ICL7107数字电压表

四、方案比较及可行性分析

(1)显示清晰直观,读书准确数字电压表采用先进的数显技术,使测量结果一目了然,只要仪表不发生跳数现象,测量结果就是唯一的,能缩短读数和记录的时间。(2)显示位数: 显示位数通常为3位-8位。

(3)准确度高: 准确度测量结果中系统误差与随机误差的综合。他表示测量结果与真值的一致程度,也反映了测量误差的大小,准确度愈高,测量误差愈小。数字电压表的准确度远优于模拟式电压表。

(4) 分辨率高: 数字电压表在最低电压量程上末位1个字所代表的电压值,称作仪表的分辨力,它反映仪表灵敏度的高低。分辨力随显示位数的增加而提高。数字电压表的分辨力指标亦可用分辨率来表示。分辨率是指所能显示的最小数字(零除外)与最大数字的百分比。实际上分辨力仅与仪表显示位数有关,而准确度则取决于A/D转换器等的总误差。

(5) 测量围宽: 多量程DVM一般可测0-1999V直流电压

(6)扩展能力强: 在数字电压表的基础上,还可扩展成各种通用及专用数字仪表,数字多用表(DMM)和智能仪器,以满足不同的需要。

(7)测量速率快: 数字电压表在每秒钟对被测电压的测量次数叫测量速率,单位是“次/秒”。主要取决于A/D转换器的转换速率,其倒数是测量周期。

(8) 集成度高,微功耗

五、电路各部分原理说明

1、电压衰减电路

R1

9M

R2

900K

R3

90K

S2

19.99-0.01V

S3

199.9-0.1V

S4

S1

1.999-0.001V V i V o

如左图中四个电阻串联分压设计,总电阻值为10MΩ,当开关S1闭合时,为最小量程2V;当开关S2闭合时,衰减10倍,其量程为20V;当开关S3闭合时,衰减100倍,其量程为200V;当开关S3闭合时,衰减100倍,其量程为200V。

图5-1 量程转换电路图

2 、单相桥式整流滤波电路

电路为单向桥式整流电路,适用于大电压的整流。电路TR为电流变压器,它的作用是将交流电网电压V1变成整流电路要求的电压V2=Sinwt,四支整流二极管D1~D4接成电桥的形式。

图5-2单相桥式整流滤波电路

3、基准电压模块

这个模块由MC1403和电位器构

成, 提供精密电压,供A/D转换器做

参考电压

图5-3MC1403稳压模块

4、3 1/2位A/D电路模块

ICL7107是高性能、低功耗的三位半A/D转换电路,包含七段译码器、显示驱动器、参考源和时钟系统。可以直接驱动LED数码管,是一块应用非常广泛的集成电路。

ICL7107将高精度、通用性和真正的低成本很好地结合在一起,它有低于10μV 的自动校零功能,零漂小于1μV/oC,低于10pA的输入电流,极性转换误差小于一个字。

ICL7107管脚排列介绍:

第1引脚:正电源,DC+6V 第26引脚:负电源DC-9V

第21引脚:电源地

第2~20、22~25引脚:数字部分

第27~40引脚:模拟部分

其中:第27引脚:积分器

第28引脚:缓冲放大器的输出端,接积分电容CINT

第29引脚:积分比较器的反相输入端,外接自动调零端

第30、31引脚:信号地和信号正端

第32引脚:模拟地

第35、36引脚:基准地和基准电压正端

第37引脚:数字地,与V+短接进行测试

第38~40引脚:时钟振荡的引出端,外阻容元件或石英晶体组

成振荡器。

图5-4ICL7107管脚图

ICL7107参数选用

5、显示模块

显示模块采用两块共阳DIP1

6、所有元件明细表

名称符号参数封装

电解电容C1,C2,C3,C4 C103,C224,C473,C103 CC3216-1206

(贴片)

电容C5 C100P CC3216-1206 (贴片)

电阻R1+R2,R3+R4,R5,

R6,R7,R8

10K,100K,

1M,470K,100K,510Ω

CC3216-1206

(贴片)图5-5共阳DIP1显示模块

六、实验原理

(1) 31/2位双积分型A/D转换器ICL7107的基本特点

①ICL7107是31/2位双积分型A/D转换器,属于CMoS大规模集成电路,它的最大显示值为士1999,最小分辨率为100uV,转换精度为0.05士1 个字。

②能直接驱动共阳极LED数码管,不需要另加驱动器件,使整机线路简化,采用士5V两组电源供电,并将第21脚的GND接第30脚的IN 。

③在芯片部从V+与之间有一个稳定性很高的2.8V基准电源,通过电阻分压器可获得所需的基准电压VREF 。

④能通过部的模拟开关实现自动调零和自动极性显示功能。⑤输入阻抗高,对输入信号无衰减作用。

⑥整机组装方便,无需外加有源器件,配上电阻、电容和LED共阳极数码管,就能构成一只直流数字电压表头。

⑦噪音低,温漂小,具有良好的可靠性,寿命长。⑧芯片本身功耗小于15mw(不包括LED)。

⑨不设有一专门的小数点驱动信号。使用时可将LED共阳极数数码管公共阳极接V+. ⑩可以方便的进行功能检查。

图6-1ICL7107电压表简图

(3) ICL7107的工作原理

双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。838电子

它的原理性框图如图6-2所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期,积分器先后对输入信号电压和基准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。

图6-2ICL7107原理性框图

计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。

分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。

译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED数码管的负号显示。第三,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。

钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

第一阶段:自动调零阶段AZ

转换开始前(转换控制信号VL=0) ,先将计时器清零,并接通开关S0 ,使积分电容

C完全放电。

第二阶段:信号积分INT

令开关S1合到输入信号V1一侧,积分器对V1进行固定时间Tl的积分,积分结果为:

上式说明,在Tl固定条件下V0与Vl成正比。第三阶段:反向积分DE 令开关S1转至参考电压VREF一侧,积分器反向积分。如果积分器的输出电压上升至必零时,所经过的积分时间T2则可得,

故可得到,

可见,反向积分到V0=0这段时间T2与Vl成正比。令时钟脉冲CD的周期为Tc,计数扔器在T2时间计数值为N得:T2=NTc

代入上式得:

分析可知:T1,Tc,VREF固定不变,计数值N仅与VIN成正比,实现了模拟量到数字量的转变。下面介绍A/D转化过程的时间分配。假设时钟脉冲频率为40KHz,每个周期为4000Tc, 如图6-3所示,每个

测量周期中三个阶段工作自动循环。

各阶段时间分配如下

①信号积分时间Tl用1000Tc 。

②信号反向积分时间T2用0一2000Tc ,这段时间的长短是由VIN的大小决定的。③自动调零时间T0用1000-3000Tc 。

从上面的分析可知,Tl 侍定不变的,但T2随VIN的大小而改变。因为,

选基准电压VRFF = 100.0mv ,

由:得:VIN=0.1N

满量程时N=2000,同样由上式可导出满量程时VIN与基准电压的关系为:VIN=2VREF 。为了提高仪表的抗干扰能力,通常选定的采样时间Tl 为工频周期的整数倍。我用50Hz 交流电网,其周期为20ms,应选T1=n×20ms。n= l,2,3……n越大,对串模干扰的抑制能力越强,但n越大,A/D转换的时间越长。因此,一般取Tl=100ms,即f0=40KHz 。

由T0=2RC105=2.2RC,得

式中T0为振荡周期。

由上式可知,当f0=40KHz时,阻容元件的选取并不唯一,只要满足要求即可。

上式说明,在Tl固定条件下V0与Vl成正比。第三阶段:反向积分DE 令开关S1转至参考电压VREF一侧,积分器反向积分

七、电路的安装与调试

按照测量正负199.9mV来说明:

1).辨认引脚:芯片的第一脚,是正放芯片,面对型号字符,然后,在芯片的做下方为第一脚。也可以把芯片的缺口朝左放置,在左下角也就是第一脚了。许多厂家会在第一脚旁边打上一个小圆点作为标记。知道了第一脚之后,按照逆时针方向去走,依次

是第2至第40引脚。(1脚与40脚遥遥相对)。

2).要牢记关键点的电压:芯片第一脚是供电,正确电压是DS5V。第36脚是基准电压,正确数值是100mV,第26引脚是负电源引脚,正确电压数值是负的,在-3V至-5V都认为正常,但是不能是正电压,也不能是零电压。芯片第31引脚是信号输入引脚,可以输入正、负199。9V的电压,在一开始,可以把它接地,造成“0“信号输入,以方便测试。

3).注意芯片27,28,29引脚的元件数值,它们是0.22皮法、47K0.47皮法阻容网络,这三个元件属于芯片工作的积分网络,不能使用磁片电容。芯片的33和34脚接的电容也不能使用磁片电容。

4).注意接地引脚:芯片的电源地是21脚,模拟地是32脚,信号地是30脚,基准地是35脚,通常使用情况下,这4个引脚都接地,在一些有特殊要求的应用中(例如测量电阻或者比例测量),30脚或35脚就可能不接地而是按照需要接到其他电压上。--本文不讨论特殊要求。

6).如果上面的所有连接和电压数值都是正常的,也没有“短路”或者“开路”故障,那么,电路就应该可以正常工作了。利用一个电位器和指针万用表的电阻X1挡,我们可以分别调整出50mV、100mV、190mV三种电压来,把它们依次输入到ICL7107的第31脚,数码管应该对应50.0、1000.0、190.0的数值,允许有2- 3个字的误差。如果差别带大,恶意微调一下36脚的电压。

7).比例度数:把31脚与36脚短路,就是把基准电压为信号输入到芯片的信号端。这时候,数码管显示的数值最好是100.0,通常在99.7 –100.3之间。越接近100.0越好。这个测试是看看芯片的比例读数转换情况,与基准电压炉体是多少mV无关,

也无法在外部进行调整这个读数。如果差的太多,就需要更换芯片了。

8).ICL7107也经常使用在正、负199.9V量程。这时候芯片27、28、29引脚的元件数值,更换0.22皮法、470千欧、0.047皮法阻容网络,并且把36脚基准调到1.000V 就可以使用在正、负1.999V量程了。

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

基于-89C51单片机的秒表课程设计汇本

《单片机技术》 课程设计报告 题目:基于MCU-51单片机的秒表设计班级: 学号: 姓名: 同组人员: 指导教师:王瑞瑛、汪淳 2014年6月17日

目录 1课程设计的目的 (3) 2 课程设计题目描述和要求 (3) 2.1实验题目 (4) 2.2设计指标 (4) 2.3设计要求 (4) 2.4增加功能 (4) 2.5课程设计的难点 (4) 2.6课程设计容提要 (4) 3 课程设计报告容 (5) 3.1设计思路 (5) 3.2设计过程 (6) 3.3 程序流程及实验效果 (7) 3.4 实验效果 (16) 4 心得体会 (17)

基于MCS-51单片机的秒表设计 摘要:单片机控制秒表是集于单片机技术、模拟电子技术、数字技术为一体的机电一体化高科技产品,具有功耗低,安全性高,使用方便等优点。本次设计容为以8051 单片机为核心的秒表,它采用键盘输入,单片机技术控制。设计容以硬件电路设计,软件设计和PCB 板制作三部分来设计。利用单片机的定时器/计数器定时和计数的原理,用集成电路芯片、LED 数码管以及按键来设计计时器。将软、硬件有机地结合起来,使他拥有正确的计时、暂停、清零、并同时可以用数码管显示,在现实生中应用广泛。 关键词:秒表;8051;定时器;计数器 1 课程设计的目的 《单片机应用基础》课程设计是学好本门课程的又一重要实践性教学环节,课程设计的目的就是配合本课程的教学和平时实验,以达到巩固消化课程的容,进一步加强综合应用能力及单片机应用系统开发和设计能力的训练,启发创新思维,使之具有独立单片机产品和科研的基本技能,是以培养学生综合运用所学知识的过程,是知识转化为能力和能力转化为工程素质的重要阶段。 2 课程设计题目描述和要求

单片机课程设计-数字电压表

目录 1 引言 (1) 2设计原理及要求 (2) 2.1数字电压表的实现原理 (2) 2.2数字电压表的设计要求 (2) 3软件仿真电路设计 (3) 3.1设计思路 (3) 3.2仿真电路图 (3) 3.3设计过程 (3) 3.4 AT89C51的功能介绍 (4) 3.4.1简单概述 (4) 3.4.2主要功能特性 (5) 3.4.3 AT89C51的引脚介绍 (5) 3.5 ADC0808的引脚及功能介绍 (7) 3.5.1芯片概述 (7) 3.5.2 引脚简介 (7) 3.5.3 ADC0808的转换原理 (7) 3.6 74LS373芯片的引脚及功能 (8) 3.6.1芯片概述 (8) 3.6.2引脚介绍 (8) 3.7 LED数码管的控制显示 (8) 3.7.1 LED数码管的模型 (8) 3.7.2 LED数码管的接口简介 (9) 4系统软件程序的设计 (10) 4.1 主程序 (10) 4.2 A/D转换子程序 (10) 4.3 中断显示程序 (12) 5电压表的调试及性能分析 (13) 5.1 调试与测试 (13) 5.2 性能分析 (13) 6电路仿真图 (14) 7总结 (15) 参考文献 (16)

附录1 源程序 (17) 附录2 仿真原理电路 (23)

1 引言 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。 数字电压表(DigitalVoltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本章重点介绍单片机A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力理。 本设计AT89C51单片机的一种电压测量电路,该电路采用ADC0808一种基于A/D转换电路,测量围直流0~5V 的4路输入电压值,并在四位LED数码管上显示或单路选择显示。测量最小分辨率为0.019V,测量误差约为正负0.02V。

《 3位半数字显示温度计 》设计报告

《3位半数字显示温度计》 设计报告 设计时间: 班级: 姓名: 报告页数:

广东工业大学课程设计报告 设计题目_______ 学院专业班 学号姓名 (合作者号) 成绩评定_______ 教师签名_______ 一、设计任务与要求: 设计任务:

LM35, A/D转换器、数字显示器设计一个日常温度数字温 度计。 课程目标: ?1、加深对以上三门课程所学内容的理解; ?2、能够在设计中综合运用所学知识解决实际问题; ?3、初步掌握工程设计的一般方法,具备一定的工程设计能力。 ?4.培养独立思考和独立解决问题的能力,培养科学精神和严谨的工作作风。 标及技术要求: ?①温度显示范围:0℃~50℃; ?②数字显示分辨率:0.1℃; ?③精度误差≤0.5℃; ?④电路工作电源可在5~9V范围内工作. 二、设计方案及比较(设计可行性分析): 方案思路一------基于LM35芯片以51单片机作为核心的三位半数字显示温度计: 外接一个温度采集LM35,根据采集器的输出参数特性利用TX-1C开发板编程相关程序直接处理温度信息并将处理结果显示在开发板自带的液晶屏上 方案思路二------基于LM35芯片以ICL7106作为核心的三位半数字显示温度计: 1. 模拟信号采集部分:LM35采集温度信息转化为可处理的模拟信号并将该信号输入至数模转换部分 2. 模数转换部分:用ICL7106芯片以及相关原件组成的外围电路组成一个直流电压测量电路或一个数字电压表,利用ICL7106将模拟部分输出的模拟信号转换为数字信号,并通过7106自带的BCD译码器输出液晶屏所需输入信号 3. 液晶屏显示部分:液晶屏链接ICL7106对应的输出接口输入显示信号,显示该数字电压

单片机课程设计题目

《单片机原理与应用》课程设计题目 1.基于单片机的电子秒表 本设计以MCS-51系列单片机为核心,采用常用电子器件设计,一个电源开关,两个按键,三位数码管显示,打开电源开关后显示8,每秒循环左移一位,即□□8—>□8□—>8□□—>□□8—>…,按A键开始计时,实时显示所经历的时间,按B键停止计时并显示从开始到当前时刻的时间,要求精确到0.1秒,量程为0~99.9秒。 要求按键输入采用中断方式,按键A接INT0,按键B接INT1。 2.智能电动百叶窗 本设计以MCS-51系列单片机为核心,采用常用电子器件设计,一个电源开关,用一台直流电机控制百叶窗叶片的旋转(正转/反转),用一个光敏电阻传感器测量室内光强度,并用两位数码管显示测量结果,设置三个按键:手动/自动切换、手动正转和手动反转,用一个发光二极管显示手动/自动状态,自动状态时二极管亮。 设置两个极限位置保护行程开关,用于保护百叶窗叶片:当正转到极限位置压下行程开关时,电机停止正转,但还可以反转;当反转到极限位置压下行程开关时,电机停止反转,但还可以正转。 按键输入采用中断方式,按键中断请求信号接INT0. 单片机根据设定光强S1和S2(S2 > S1)和实测光强P控制电机M的动作:当P<=S1时,控制M正转以增加进光量; 当P>S2时,控制M反转以减少进光量; 当S1S+1时,控制R断开电加热回路; 当S-1

单片机课程设计数字电压表

单片机课程设计 ——电压表的设计 学院:信息工程学院 专业:电子信息科学与技术 班级:2011150 学号:201115002 姓名:王冬冬 同组同学:凡俊兴 201115001

目录 1 引言 (1) 2设计原理及要求 (2) 2.1数字电压表的实现原理 (2) 2.2数字电压表的设计要求 (2) 3软件仿真电路设计 (2) 3.1设计思路 (2) 3.2仿真电路图 (3) 3.3设计过程 (3) 3.4 AT89C51的功能介绍 (4) 3.4.1简单概述 (4) 3.4.2主要功能特性 (5) 3.4.3 AT89C51的引脚介绍 (5) 3.5 ADC0809的引脚及功能介绍 (7) 3.5.1芯片概述 (7) 3.5.2 引脚简介 (8) 3.5.3 ADC0809的转换原理 (8) 3.6 74LS373芯片的引脚及功能 (8) 3.6.1芯片概述 (8) 3.6.2引脚介绍 (9) 3.7 LED数码管的控制显示 (9) 3.7.1 LED数码管的模型 (9)

LED数码管模型如图3-6所示。 (9) 3.7.2 LED数码管的接口简介 (9) 4系统软件程序的设计 (9) 4.1 主程序 (10) 4.2 A/D转换子程序 (11) 4.3 中断显示程序 (12) 5使用说明与调试结果 (13) 6总结 (13) 参考文献 (14) 附录1 源程序 (15) 附录2原理电路 (19)

1 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用[1]。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,从而精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC实时通信。数字电压表是诸多数字化仪表的核心与基础[2]。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表。目前,由各种单片机和A/D转换器构成的数字电压表作全面深入的了解是很有必要的。 最近的几十年来,随着半导体技术、集成电路(IC)和微处理器技术的发展,数字电路和数字化测量技术也有了巨大的进步,从而促使了数字电压表的快速发展,并不断出现新的类型[4]。数字电压表从1952年问世以来,经历了不断改进的过程,从最早采用继电器、电子管和形式发展到了现在的全固态化、集成化(IC 化),另一方面,精度也从0.01%-0.005%。 目前,数字电压表的内部核心部件是A/D转换器,转换的精度很大程度上影响着数字电压表的准确度,因而,以后数字电压表的发展就着眼在高精度和低成本这两个方面[3]。 本文是以简易数字直流电压表的设计为研究内容,本系统主要包括三大模块:转换模块、数据处理模块及显示模块。其中,A/D转换采用ADC0808对输入的模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算处理,最后驱动输出装置LED显示数字电压信号

三位半数字直流电压表的设计

钦州学院 数字电子技术课程设计报告三位半数字直流电压表的设计 院系物理学院 专业过程控制自动化 学生班级 2010级1班 姓名 xxxx 学号 xxxx 指导教师单位 xxxxx 指导教师姓名 xxxx 指导教师职称 xxxx 2013年7月

三位半数字直流电压表 过程控制自动化专业2010级 xxx 指导教师 xxx 摘要:根据设计的指标和要求,结合平时所学的理论知识,设计出一个功能较齐全的数字直流电压表。 关键词:电压表、电路、设计、A/D转换器

目录 前言 (1) 1 设计技术指标与要求 (1) 1.1 设计技术指标 (1) 1.2 设计要求 (1) 2 方案的设计及元器件清单 (1) 3 电路的工作原理 (2) 4 各部分的功能 (3) 4.1 三位半位双积分A / D 转换器CC14433 的性能特点 (3) 4.2 基准电源(CC1403) (3) 4.3 译码器(MC4511) (4) 4.4 显示电路模块 (5) 4.5 驱动器 (5) 4.6 显示器 (5) 5系统电路总图及原理 (5) 5.1 电路组成 (5) 5.2 电路的工作原理及过程 (6) 5.2.1 三位半A/D转换器MC14433 (7) 5.2.2 七段锁存-译码-驱动器CD4511 (8) 5.2.3 高精度低漂移能隙基准电源MC1403 (9) 6 电路连接测试 (9) 7 经验体会 (10) 参考文献 (10)

钦州学院本科课程设计报告 前言 数字电压表(Digital Voltmeter),简称DVM,是采用数字化测量技术,把连续的模拟信号转换成不连续、离散的数字形式并加以显示的仪表。数字电压表的类型很多,其输入电路、设计电路和显示电路基本相似,只是电压—数字转换方法不同。 因此,我们此次设计电压表就是为了了解电压表的原理,从而学会制作电压表。而且通过电压表的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1 设计技术指标与要求 1.1 设计技术指标 1. 量程:一档:+1.999V~0~-1.999V 二档: +19.99V~0~-19.99V 2. 用七段LED数码管显示读数,做到显示稳定、不跳变; 3. 保持/测量开关:能保持某一时刻的读数; 4. 指示值与标准电压表示值误差最低位在5之内。 1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择; 3. 编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。 2 方案设计及元器件清单 选用A/D转换芯片MC14433、CC4511、MC1413、MC1403实现电压的测量,用四位数码管显示出最后的转换电压结果。缺点是工作速度低,优点是精度较高,工作性能比较稳定,抗干扰能力比较强。 具体的元器件清单如表1所示。

四位半数字电压表(长大版)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 1 前言 随着电子科学技术、传感技术、自动控制技术和计算机的发展,电阻、电压、电流等数值的测量变得越来越常见,其中电压的测量最为常见。传统的指针式电压表应经无法满足如今高精度的要求,数字电压表的诞生很好地解决了这一问题。 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。且数字电压表精度高,抗干扰能力强,可扩展性强,集成方便,读数方便。 目前由各种A/D转换器构成的数字电压表,已被广泛应用于电子及电工测量,工业自动化仪表,自动测试系统等智能化测试领域,显示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到站新水平。综上所述,数字电压表在现在及将来都会有广大的应用。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊1.1总体方案设计论证 1.1.1设计要求 1. 设计数字电压表电路。 2. 测量范围:直流电压0~199.99mV,0~1.9999V,0~19.999V,0~199.99V。 3.用199.99mV或1.9999V的模拟电压作为输入,校准电压表的读数。 4. 选做内容:自动量程切换。 1.1.2设计目的 1.电子技术课程设计是学习电子技术十分重要的环节之一,是对学习电子技术知识的综合性实践训练。对于巩固所学的电子技术理论知识,培养解决实际问题的能力,加强基本的技能训练具有明显的积极作用。 2. 掌握数字电压表的设计原理,组装、焊接与调试方法。 3. 熟悉集成电路ICL7135、ICM7556、74HC04、74LS47的使用方法,并掌握其工作原理。 1.2数字电压表的特点及发展趋势 数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。 数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。 1.2.1 数字电压表的特点 1.显示清晰直观,读数准确 传统的模拟式仪表必须借助于指针和刻度盘进行读数,在读数过程中不可避免的会引入人为的测量误差。数字电压表则采用先进的数显技术,使测量结果一目了然,只要仪表不发生跳读现象,测量结果就是唯一的。 新型数字电压表还增加了标志符显示功能,包括测量项目、符号单位和特殊符号、为解决DVM不能反映被测电压的连续变化过程以及变化趋势这一难题,一种"数字/模拟条图"仪表业已问世。"模拟图条"(Anal of Bargraph)有双重含义:第一,被测量为模拟量;第二,利用条状图形来模拟被测量的大小及变化趋势。这类仪表将数字显示与高分辨率模拟条图显示集于一身,兼有DVM与模拟电压表之优点。智能数字电压表均带微处理器和标准接口,可配合计算机和打印机进行数据处理或自动打印,构成完整的测试系统。

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

51单片机课程设计源程序

TIME0_DOWN EQU F0 ;将F0设置为定时器0定时到标志 FINISH_ID EQU 30H ;学号发送标志 KEY_FLAG BIT 00H ;有键按下标志 KEY_LONG BIT 01H ;键长按 KEY_D EQU 31H ;键值存放地址 ADC0809_AD EQU 8000H ;设置ADC0809地址 DAC0832_AD EQU 0000H ;设置DAC0832地址 ADC_FLAG BIT 02H ;设置ADC0809读数据标志 ADC_DATE EQU 32H ;设置ADC0809数据地址 ADC_0 EQU 33H ;ADC0809转化为BCD码后个位存放地址 ADC_1 EQU 34H ;十分位存放地址 ADC_2 EQU 35H ;百分位存放地址 ADC_3 EQU 36H ;千分位存放地址 ORG 0000H ;程序开始,跳转至主程序 0000 020030 LJMP MAIN ORG 0003H ;外部中断0入口0003 020141 LJMP INT0_IN ORG 000BH ;设置定时器0中断入口地址 000B 020132 LJMP TIME0 ORG 0013H ;外部中断1入口0013 020151 LJMP INT1_IN ORG 0030H ;主程序开始地址 0030 758169 MAIN: MOV SP,#69H ;初始化堆栈指针 0033 C292 CLR P1.2 ;显示器清零 0035 D292 SETB P1.2 0037 753000 MOV FINISH_ID,#0 ;将标志位清零 003A C2D5 C LR TIME0_DOWN 003C C200 CLR KEY_FLAG 003E C201 CLR KEY_LONG 0040 753100 MOV KEY_D,#0 0043 C202 CLR ADC_FLAG 0045 753200 MOV ADC_DATE,#0 0048 753300 MOV ADC_0,#0 004B 753400 MOV ADC_1,#0 004E 753500 MOV ADC_2,#0 0051 753600 MOV ADC_3,#0 0054 C291 CLR P1.1 ;初始化键盘,行线置零,有键按下触发中断 0056 C293 CLR P1.3

单片机课程设计报告数字电压表

University of South China 单片机课程设计报告 设计课题:基于单片机的数字电压表设计专业班级:电卓103班 学生姓名:李文帅 指导教师:朱卫华 设计时间:2012年1月10日

内容摘要 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、数码管显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、数码管显示部分。其中一位为整数部分,其余位小数部分。 索引关键词:8051 模数转换数码管显示

Contents Abstract The voltmeter is indispensable in measuring instruments and equipment, is widely used digital voltmeter ASIC implementation. 8051, successive approximation type A / D converter ADC0809 digital tube display as the main design of a simple digital voltmeter capable of measuring 0 to 5V DC voltage, minimum resolution of 0.02V . The design is divided into several parts, each part of the main components selected for use are determined as follows: 1, microcontroller part. Using a common 8051, according to the need to design a microcontroller circuit. 2, the measurement section. This part is the focus of the experiment, require external acquisition of the analog signal is converted into a digital signal through the microcontroller of the processing and display on the display, the portion determines the main technical indicators such as the precision of the digital voltmeter. According to the needs of the design using successive approximation type A / D converter ADC0809 analog-to-digital conversion. 3, the digital display section. One for the integer part, the remaining bits of the fractional part. Index Keywords: 8051 Analog-to-digital Conversion digital display.

《 3位半数字显示温度计 》设计报告

《 3位半数字显示温度计》 设计报告 设计时间: 班级: 姓名: 报告页数:

工业大学课程设计报告 设计题目_______ 学院专业班 学号 (合作者号 ) 成绩评定_______ 教师签名_______ 一、设计任务与要求: 设计任务:

LM35, A/D转换器、数字显示器设计一个日常温度数字温度 计。 课程目标: ?1、加深对以上三门课程所学容的理解; ?2、能够在设计中综合运用所学知识解决实际问题; ?3、初步掌握工程设计的一般方法,具备一定的工程设计能力。 ?4.培养独立思考和独立解决问题的能力,培养科学精神和严谨的工作作风。 标及技术要求: ?①温度显示围:0℃~50℃; ?②数字显示分辨率:0.1℃; ?③精度误差≤0.5℃; ?④电路工作电源可在5~9V围工作. 二、设计方案及比较(设计可行性分析): 方案思路一------基于LM35芯片以51单片机作为核心的三位半数字显示温度计: 外接一个温度采集LM35,根据采集器的输出参数特性利用TX-1C开发板编程相关程序直接处理温度信息并将处理结果显示在开发板自带的液晶屏上 方案思路二------基于LM35芯片以ICL7106作为核心的三位半数字显示温度计: 1. 模拟信号采集部分:LM35采集温度信息转化为可处理的模拟信号并将该信号输入至数模转换部分 2. 模数转换部分: 用ICL7106芯片以及相关原件组成的外围电路组成一个直流电压测量电路或一个数字电压表,利用ICL7106将模拟部分输出的模拟信号转换为数字信号,并通过7106自带的BCD译码器输出液晶屏所需输入信号 3. 液晶屏显示部分:液晶屏ICL7106对应的输出接口输入显示信号,显示该数字电压表的

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

基于51单片机课程设计报告

单片机课程设计 课题:基于51单片机的交通灯设计 专业:机械设计制造及其自动化 学号: 指导教师:邵添 设计日期:2017/12/18 成绩: 大学城市科技学院电气学院 基于51单片机数字温度计设计报告

一、设计目的作用 本设计是一款简单实用的小型数字温度计,所采用的主要元件有传感器DS18B20,单片机AT89C52,,四位共阴极数码管一个,电容电阻若干。DS18B20支持“一线总线”接口,测量温度围-55°C~+125°C。在-10~+85°C围,精度为±0.5°C。18B20的精度较差,为±2°C 。现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。 本次数字温度计的设计共分为五部分,主控制器,LED显示部分,传感器部分,复位部分,按键设置部分,时钟电路。主控制器即单片机部分,用于存储程序和控制电路;LED显示部分是指四位共阴极数码管,用来显示温度;传感器部分,即温度传感器,用来采集温度,进行温度转换;复位部分,即复位电路,按键部分用来设置上下限报警温度。测量的总过程是,传感器采集到外部环境的温度,并进行转换后传到单片机,经过单片机处理判断后将温度传递到数码管显示。 二、设计要求 (1).利用DS18B20传感器实时检测温度并显示。 (2).利用数码管实时显示温度。 (3).当温度超过或者低于设定值时蜂鸣器报警,LED闪烁指示。 (4).能够手动设置上限和下限报警温度。 三、设计的具体实现 1、系统概述 方案一:由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D转换电路,感温电路比较麻烦。 方案设计框图如下:

三位半数字电压表

四、 设计原理及电路图 (1)数字电压表原理框图如下: 方案的原理框图如图b 所示; 图b 鉴于选用方案一,由数字电压表原理框图可知,数字电压表由五个模块构成,分别是基准电压模块, 3 1/2位A/D 电路模块,字形译码驱动电路模块,显示电路模块,字位驱动电路模块. 各个模块设计如下: 量程转换模块 采用多量程选择的分压电阻网络,可设计四个分压电阻大小分别为900K Ω,90K Ω,9K Ω和1K Ω。用无触点模拟开关实现量程的切换。 基准电压模块

这个模块由MC1403和电位器构成, 提供精密电压,供A/D 转换器作参考电压. 3 1/2位A/D电路模块 直流数字电压表的核心器件是一个间接型A / D转换器,这个模块由MC14433和积分元件构成,将输入的模拟信号转换成数字信号。字形译码驱动电路模块

这个模块由MC4511构成 ,将二—十进制(BCD)码转换成七段信号。 显示电路模块 这个模块由LG5641AH构成,将译码器输出的七段信号进行数字显示,读出A/D 转换结果。 (2)实验芯片简介: 数字显示电压表将被测模拟量转换为数字量,并进行实时数字显示。该系统(如图1 所示)可采用MC14433—三位半A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED发光数码管组成。本系统是

三位半数字电压表,三位半是指十进制数0000~1999。所谓3位是指个位、十位、百位,其数字范围均为0~9,而所谓半位是指千位数,它不能从0变化到9,而只能由0变到l,即二值状态,所以称为半位。 各部分的功能如下: 三位半A/D转换器(MC14433):将输入的模拟信号转换成数字信号。基准电源(MC1403):提供精密电压,供A/D 转换器作参考电压。 译码器(MC4511):将二—十进制(BCD)码转换成七段信号。 驱动器(MC1413):驱动显示器的a,b,c,d,e,f,g七个发光段,驱动发光数码管(LED)进行显示。 显示器:将译码器输出的七段信号进行数字显示,读出A/D转换结果。工作过程如下: 三位半数字电压表通过位选信号DS1~DS4进行动态扫描显示,由于MC14433电路的A/D转换结果是采用BCD码多路调制方法输出,只要配上一块译码器,就可以将转换结果以数字方式实现四位数字的LED 发光数码管动态扫描显示。DS1~DS4输出多路调制选通脉冲信号。DS 选通脉冲为高电平时表示对应的数位被选通,此时该位数据在Q0~Q3端输出。每个DS选通脉冲高电平宽度为18个时钟脉冲周期,两个相邻选通脉冲之间间隔2个时钟脉冲周期。DS和EOC的时序关系是在EOC 脉冲结束后,紧接着是DS1输出正脉冲。以下依次为DS2,DS3和DS4。其中DS1对应最高位(MSD),DS4则对应最低位(LSD)。在对应DS2,DS3和DS4选通期间,Q0~Q3输出BCD全位数据,即以8421码方

基于某STC89C52的数字电压表设计报告材料

荆楚理工学院 单片机课程设计成果 学院: 电子信息工程学院班级: 13电气2班 学生姓名:xxx学号:xxxxxxxxxxxxxxxx 设计地点(单位)单片机实验室D1302 设计题目:数字电压表 完成日期:2015年7月3日 指导教师评语: _________________________________ 成绩(五级记分制): 教师签名:

摘要 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以STC89C52单片机为核心,以逐次逼近式A/D转换器ADC0809、数码管显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的STC89C52单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上。根据需要本设计采用逐次逼近型A ∕D转换器ADC0809进行模数转换。 3、数码管显示部分。其中一位为整数部分,其余位小数部分。 关键词:STC89C52 模数转换数码管显示

目录 1.方案设计与论证 (4) 1.1方案设计 (4) 1.2方案论证 (4) 2.系统硬件电路设计 (4) 2.1系统原理框图 (4) 2.2 A/D转换电路 (5) 2.3单片机主控电路 (5) 2.4电压显示电路 (7) 2.5总体电路设计 (8) 3.系统测试 (10) 3.1测试方法与结果 (10) 3.2测试结论 (11) 3.3误差分析 (11) 4.设计总结 (11) 参考文献 (13) 附录 (14)

(完整word版)51单片机课程设计实验报告

51单片机课程设计报告 学院: 专业班级: 姓名: 指导教师: 设计时间:

51单片机课程设计 一、设计任务与要求 1.任务:制作并调试51单片机学习板 2.要求: (1)了解并能识别学习板上的各种元器件,会读元器件标示; (2)会看电路原理图; (3)制作51单片机学习板; (4)学会使用Keil C软件下载调试程序; 用调试程序将51单片机学习板调试成功。 二、总原理图及元器件清单 1.总原理图 2.元件清单 三、模块电路分析 1. 最小系统: 单片机最小系统电路分为振荡电路和复位电路, 振荡电路选用12MHz 高精度晶振, 振荡电容选用22p和30p 独石电容;

图 1 图 2 复位电路使用RC 电路,使用普通的电解电容与金属膜电阻即可; 图 3 当单片机上电瞬间由于电容电压不能突变会使电容两边的电位相同,此时RST 为高电平,之后随着时间推移电源负极通过电阻对电容放电,放完电时RST 为低电平。正常工作为低电平,高电平复位。 2. 显示模块: 分析发光二极管显示电路: 图 4 发光二极管显示电路分析:它是半导体二极管的一种,可以把电能转化成光能,常简写为

LED。发光二极管与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,产生自发辐射的荧光。图中一共有五个发光二极管其中一个为电源指示灯,当学习板通电时会发光以指示状态。其余四个为功能状态指示灯,实际作用与学习板有关 分析数码管显示电路 图 5 数码管显示电路分析:数码管按段数分为七段数码管和八段数码管,图中所用为八段数码管(比七段管多了一个小数点显示位),按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管.共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。数码管主要用来显示经电路板处理后的程序的运行结果。图中使用了八个八段数码管,可以显示八个0-15的数字。使用数码管可以直观的得到程序运行所显示的结果.也可以显示预置在学习板上的程序,主要通过16个开关来控制。 四、硬件调试 1、是否短路 用万用表检查P2两端是短路。电阻为0,则短路,电阻为一适值,电路正常。 2、焊接顺序 焊接的顺序很重要,按功能划分的器件进行焊接,顺序是功能部件的焊接--调试--另一功能部件的焊接,这样容易找到问题的所在。 3、器件功能 1)检查原理图连接是否正确 2)检查原理图与PCB图是否一致 3)检查原理图与器件的DATASHEET上引脚是否一致 4)用万用表检查是否有虚焊,引脚短路现象 5)查询器件的DATASHEET,分析一下时序是否一致,同时分析一下命令字是否正确 6)通过示波器对芯片各个引脚进行检查,检查地址线是否有信号的 7)飞线。用别的的口线进行控制,看看能不能对其进行正常操作,多试验,才能找到问题出现在什么地方。 1、详细描述硬件安装过程中出现的故障现象,并作故障分析,及解决方法。 六、软件调试

相关文档
最新文档