用二输入与非门组成异或门

用二输入与非门组成异或门
用二输入与非门组成异或门

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

电路四输入与非门设计

课程设计任务书 学生姓名:专业班级:电子1003班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS四输入与非门电路设计 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD软件、L-EDIT软件。 (2)设计一个CMOS四输入与非门电路。 (3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对CMOS四输入与非门电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要........................................................................ I Abstract ................................................................... II 1 绪论 (1) 2 设计内容及要求 (2) 2.1 设计的目的及主要任务 (2) 2.2 设计思想 (2) 3软件介绍 (3) 3.1 OrCAD简介 (3) 3.2 L-Edit简介 (4) 4 COMS四输入与非门电路介绍 (5) 4.1 COMS四输入与非门电路组成 (5) 4.2 四输入与非门电路真值表 (6) 5 Cadence中四输入与非门电路的设计 (7) 5.1 四输入与非门电路原理图的绘制 (7) 5.2 四输入与非门电路的仿真 (8) 6 L-EDIT中四输入与非门电路版图的设计 (10) 6.1 版图设计的基本知识 (10) 6.2 基本MOS单元的绘制 (11) 6.3 COMS四输入与非门的版图设计 (13) 7课程设计总结 (14) 参考文献 (15)

集成逻辑门电路的基本应用

肇 庆 学 院 学院 课实验报告 年级 班 组 实验日期 姓名: 老师评定 ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验题 实验五 集成逻辑门电路的基本应用 一、实验目的 (一) 熟悉用标准与非门实现逻辑变换的方法。 (二) 学习与非门电路的应用。 (三) 掌握半加器电路结构和逻辑功能。 二、实验仪器和设备 通用微机接口实验系统 微机电源 万用表 74LS00 74LS86 三、实验步骤及内容 (一)利用摩根定理可以对逻辑函数化简或进行逻辑变换。 摩根定律:)( +++C B A = ???C B A )( ???C B A = +++C B A 1、利用与非门组成一个与门的电路设计。与非门的布尔代数表达式为: B A Y ?=,而与门的布尔代数表达式为:B A Z ?=,只要把与非门的输出Y 反 相一次,即可得到与非门的功能:

Z B A =?=Y =B A ? 因此只要用二个与非门即可实现与门的功能。 将测试电路图画在下面空白处,并将测试结果记录 于表2-1。 2、利用与非门组成一个或门的电路设计。或 门的布尔代数表达式为:Z=A+B ,根据摩根定律可 知: Z=A+B =B A ? 因此可以用三个与非门连接起来,即可实现或 门的功能。将测试电路图画在下面空白处,并将测 试结果记录于表2-2。 (二)1、利用与非门完成一个电平“0”的控 制器。电路的输入端接一个1MHZ 的脉冲信号,其输出端只能输出电平为“1”的信号。 2、用奇数个与非门构成环形振荡器,如图2-1所示。振荡频率为:pd nt f 21= ,用示波器观察波形,测量振荡频率,计算与非门的 图2-1 与非门构成环形振荡器 平均延迟时间pd t 。其中,n 是与非门的个数。 V 0

与非门

教学要求: 熟练掌握最简单的与、或、非门电路;掌握TTL 门电路、CMOS 门电路特点和逻辑功能(输入输出关系);掌握TTL 门电路、CMOS 门电路的电气特性;理解TTL 门电路、CMOS 门电路在应用上的区别。了解特殊的门电路,如OC 门,三态门,CMOS 传输门。 教学重点: TTL 门电路的外部特性,逻辑功能、电气特性。CMOS 门电路的外部特性,逻辑功能、电气特性。 2. 1 概述 门电路——用以实现各种基本逻辑关系的电子电路 正逻辑——用1 表示高电平、用0 表示低电平 负逻辑——用0 表示高电平、用1 表示低电子的情况。 2.2 分立元件门电路 2.2.1 二极管的开关特性 图2.2.1二极管静态开关电路及其等效电路 (a)电路图(b) 输入高电平时的等效电路(c)输入低电平时的等效电路

二、动态开关特性在高速开关电路中,需要了解二极管导通与截止间的快速转换过程。 图2.2.2二极管动态开关特性 (a)电路图(b)输入脉冲电压波形(c)实际电流波形 当输入电压U I 由正值U F 跃变为负值U R 的瞬间,V D 并不能立刻截止,而是在外加反向电压UR 作用下,产生了很大的反向电流I R ,这时i D =I R ≈- U R /R ,经一段时间 t rr后二极管V D 才进人截止状态,如图3. 2. 3 (c) 所示。通常将t rr称作反向恢 复时间。产生t rr 的主要原因是由于二极管在正向导通时,P 区的多数载流子空穴大 量流入N 区,N 区的多数载流子电子大量流入P 区,在P 区和N 区中分别存储了 大量的电子和空穴,统称为存储电荷。当U I 由U F跃变为负值U R 时,上述存储 电荷不会立刻消失,在反向电压的作用下形成了较大的反向电流I R ,随着存储电荷 的不断消散,反向电流也随之减少,最终二极管V D 转为截止。当二极管V D 由截 止转为导通时,在P 区和N 区中积累电荷所需的时间远比t rr 小得多,故可以忽略。 2. 2. 2 三极管的开关特性 一、静态开关特性及开关等效电路

cad设计二输入讲解

《集成电路CAD》课程设计报告》 ——两输入或非门的设计 班级: 学号: 姓名: 指导教师:

一、设计要求 (1)绘制电路图 a、明确电路结构; b、明确电路中器件的类型、数目; c、明确电路中端口的数目以及所联接的信号类型; d、确定MOS的宽长比,确定MOS管的尺寸,沟长采用所用工艺规定的最 小条宽的整数倍。 (2)根据电路结构绘制版图 在正确的电路结构基础上,绘制版图: a、要求版图中电路的元件数目、类型以及尺寸与所画电路结构保持一致; b、要求元件之间连接正确,并与所确定电路结构保持一致; c、要求版图中电路的端口数目、位置与所确定电路保持一致; (3)DRC验证 绘制版图后要进行DRC验证: a、采用DRC规则文件对绘制版图进行DRC校验; b、根据校验提示语句修改版图直至正确为止,提交正确的DRC校验结果。 (4)撰写课程设计报告 按以下要求书写: a、报告严格按照以下提供模板格式书写; b、报告内容要含有原电路电路图以及所绘制版图的截图; c、报告内容要含有DRC校验结果(相关截图以及文件)。 二、设计目的 1、熟悉candence软件,并掌握其各种工具的使用方法。 2、用cadence设计一个三输入或非门,并画出仿真电路、版图、并验证其特性。 三、设计的具体实现 1.电路概述 二输入或非门有两个输入端A和B以及一个输出端Q,当A端或B端为高电平时输出为低电平,当两个输入都为低电平输出才为高,表达式如下所示: = Y+ A B

或非门的电路符号和真值表如图1所示: 图2 由于此次是用CMOS管构建的二输入或非门,而CMOS管的基本门电路有非门、与非门、或非门等,所以直接用CMOS管搭建出二输或非门电路。原理图如图二所示。 2.cadence简介: Cadence公司的电子设计自动化(Electronic Design Automation)产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。本次设计是基于cadence工具的三输入或非门的电路和版图设计。

7400TTL2输入端四与非门

7400TTL2输入端四与非门 7401TTL集电极开路2输入端四与非门7402TTL2输入端四或非门 7403TTL集电极开路2输入端四与非门7404TTL六反相器 7405TTL集电极开路六反相器 7406TTL集电极开路六反相高压驱动器7407TTL集电极开路六正相高压驱动器7408TTL2输入端四与门 7409TTL集电极开路2输入端四与门7410TTL3输入端3与非门

74107TTL带清除主从双J-K触发器74109TTL带预置清除正触发双J-K触发器7411TTL3输入端3与门 74112TTL带预置清除负触发双J-K触发器7412TTL开路输出3输入端三与非门74121TTL单稳态多谐振荡器 74122TTL可再触发单稳态多谐振荡器74123TTL双可再触发单稳态多谐振荡器74125TTL三态输出高有效四总线缓冲门74126TTL三态输出低有效四总线缓冲门7413TTL4输入端双与非施密特触发器

74132TTL2输入端四与非施密特触发器74133TTL13输入端与非门 74136TTL四异或门 74138TTL3-8线译码器/复工器 74139TTL双2-4线译码器/复工器7414TTL六反相施密特触发器 74145TTLBCD—十进制译码/驱动器7415TTL开路输出3输入端三与门74150TTL16选1数据选择/多路开关74151TTL8选1数据选择器 74153TTL双4选1数据选择器

74154TTL4线—16线译码器 74155TTL图腾柱输出译码器/分配器 74156TTL开路输出译码器/分配器 74157TTL同相输出四2选1数据选择器 74158TTL反相输出四2选1数据选择器7416TTL开路输出六反相缓冲/驱动器 74160TTL可预置BCD异步清除计数器74161TTL可予制四位二进制异步清除计数器74162TTL可预置BCD同步清除计数器74163TTL可予制四位二进制同步清除计数器74164TTL八位串行入/并行输出移位寄存器74165TTL八位并行入/串行输出移位寄存器

Lab 2 二与非门电路原理图设计

Lab 2 二与非门电路原理图设计 1.实验目的 1.1了解Schematic设计环境 1.2掌握二与非门电路原理图输入方法 1.3掌握逻辑符号创建方法 2.实验原理 2.1Schematic设计环境 启动Schematic Editor后,在命令解释窗口CIW中,打开任意库与单元中的Schematic视图,浏览Schematic Editing窗口如图2.1所示,顶部为菜单栏(Menu),左侧为图标栏(Icon Bar),具体介绍如下: 图2.1 Schematic Editing窗口 菜单栏 菜单栏中可选菜单有Tool、Design、Window、Edit、Add、Check、Sheet、Options等项。其中常用菜单有: Tool菜单提供设计工具以及辅助命令。比如,lab4、lab5所使用的仿真工具ADE,就在Tool下拉菜单中。 Window菜单中的各选项有调整窗口的辅助功能。比如,Zoom选项对窗口放大(Zoom in)与缩小(Zoom out),fit选项将窗口调整为居中,redraw选项为刷新。 Edit菜单实现具体的编辑功能,主要有取消操作(Undo)、重复操作(Redo)、拉伸(Stretch)、拷贝(copy)、移动(Move)、删除(Delete)、旋转(Rotate)、属性(Properties)、选择(Select)、查找(Search)等子菜单,在以下实验中将大量应用。 Add菜单用于添加编辑所需要的各种素材,比如元件(Instance)或输入输出端点(pin)等。 图标栏 图标栏内的所有命令都可以在菜单栏实现,图标栏提供使用频率较高的一些

4012 CMOS 双4输入与非门

TL F 5940CD4002M CD4002C Dual 4-Input NOR Gate CD4012M CD4012C Dual 4-Input NAND Gate March 1988 CD4002M CD4002C Dual 4-Input NOR Gate CD4012M CD4012C Dual 4-Input NAND Gate General Description These NOR and NAND gates are monolithic complementa-ry MOS (CMOS)integrated circuits The N-and P-channel enhancement mode transistors provide a symmetrical cir-cuit with output swings essentially equal to the supply volt-age This results in high noise immunity over a wide supply voltage range No DC power other than that caused by leak-age current is consumed during static conditions All inputs are protected against static discharge and latching condi-tions Features Y Wide supply voltage range 3 0V to 15V Y Low power 10nW (typ )Y High noise immunity 0 45V DD (typ ) Applications Y Automotive Y Alarm system Y Data terminals Y Industrial controls Y Instrumentation Y Remote metering Y Medical Electronics Y Computers Connection Diagrams CD4002 Dual-In-Line Package TL F 5940–1Top View CD4012 Dual-In-Line Package TL F 5940–2 Top View Order Number CD4002or CD4012 C 1995National Semiconductor Corporation RRD-B30M105 Printed in U S A

数字电路_实验一_与门,或门,异或门的实现

实验一与门,或门,异或门的实现 一、实验目的 1.加深了解TTL逻辑门的参数意义。 2.认识各种电路及掌握空闲端处理方法。 3.学会用与非门实现与门,或门,异或门。 二、实验设备 电源,数字电路实验箱,函数信号发生器,数字双踪示波器,74LS00,电线若干 三、实验原理 1.与非门的一个输入端悬空则得到非门的功能,如下图: 2. 3. 4.

四、实验电路 1. 2. 五、实验内容 1.与门的实现 1)引脚14接电源,引脚GND接地。引脚12接B,引脚13接A。这样从引

脚11中输出的即为AB 的非。 2) 引脚1接引脚11的输出,引脚2悬空,这样引脚3中输出的即为AB 的 非的非,即为AB 。 3) 将引脚3接到二极管灯上观察。 2. 或门的实现 1) 引脚14接电源,引脚GND 接地。引脚13接A ,引脚12悬空,这样引脚 11输出A 的非。引脚10接B ,引脚9悬空,这样引脚8输出B 的非。 2) 引脚1接引脚11的输出,引脚2接引脚8的输出,这样引脚3的输出即 为A+B 。 3) 将引脚3接到二极管灯上观察。 3. 异或门的实现 a) 引脚14接电源,引脚GND 接地。引脚13接A ,引脚12悬空,这样 引脚11输出A 非,同理,得到B 非。 b) 根据实验一由A 非和B 得到A _B ,同理得到AB _ 。 c) 根据实验二,得到A _B+AB _ 六、 实验结果 根据二极管灯在不同输入组合下的真值表看,以上实验步骤正确,方法可行。 七、 心得体会 这是第一次数字电路实验,而且还是合作实验,感触颇深。我对数字电路非常好奇,实验尽管不是太难,但由于平时对知识的掌握不够熟练,动手能力欠佳,实验过程中也颇有坎坷。不过最终也算认真地完成这次实验。

数字电子技术基础第三版第二章答案

第二章逻辑门电路 第一节重点与难点 一、重点: 1.TTL与非门外特性 (1)电压传输特性及输入噪声容限:由电压传输特性曲线可以得出与非门的输出信号随输入信号的变化情况,同时还可以得出反映与非门抗干扰能力的参数U on、U off、U NH和U NL。开门电平U ON是保证输出电平为最高低电平时输入高电平的最小值。关门电平U OFF是保证输出电平为最小高电平时,所允许的输入低电平的最大值。 (2)输入特性:描述与非门对信号源的负载效应。根据输入端电平的高低,与非门呈现出不同的负载效应,当输入端为低电平U IL时,与非门对信号源是灌电流负载,输入低电平电流I IL通常为1~。当输入端为高电平U IH时,与非门对信号源呈现拉电流负载,输入高电平电流I IH通常小于50μA。 (3)输入负载特性:实际应用中,往往遇到在与非门输入端与地或信号源之间接入电阻的情况,电阻的取值不同,将影响相应输入端的电平取值。当R≤关门电阻R OFF时,相应的输入端相当于输入低电平;当R≥?开门电阻R ON时,相应的输入端相当于输入高电平。 2.其它类型的TTL门电路 (1)集电极开路与非门(OC门) 多个TTL与非门输出端不能直接并联使用,实现线与功能。而集电极开路与非门(OC 门)输出端可以直接相连,实现线与的功能,它与普通的TTL与非门的差别在于用外接电阻代替复合管。 (2)三态门TSL 三态门即保持推拉式输出级的优点,又能实现线与功能。它的输出除了具有一般与非门的两种状态外,还具有高输出阻抗的第三个状态,称为高阻态,又称禁止态。处于何种状态由使能端控制。 3.CMOS逻辑门电路 CMOS反相器和CMOS传输门是CMOS逻辑门电路的最基本单元电路,由此可以构成各种CMOS逻辑电路。当CMOS反相器处于稳态时,无论输出高电平还是低电平,两管中总有一管导通,一管截止,电源仅向反相器提供nA级电流,功耗非常小。CMOS器件门限电平U TH近似等于1/2U DD,可获得最大限度的输入端噪声容限U NH和U NL=1/2U DD。 二、难点: 1.根据TTL与非门特性,正确分析和设计电路; 2.ECL门电路的逻辑功能分析; 3.CMOS电路的分析与设计; 4.正确使用逻辑门。 三、考核题型与考核重点 1.概念 题型为填空、判断和选择。

数字电路与逻辑设计部分作业与解答

第一章数制与码制 1-1 将下列二进制数转换成十进制数。 (1)101101 (2)11011101 (3)0.11 (4)1010101.0011 解:(1)45 (2)221 (3)0.75 (4)85.1875 1-2 将下列十进制数转换成二进制数(小数部分取四位有效数字)。 (1)37 (2)0.75 (3)12.34 (4)19.65 解:(1)100101 (2)0.11 (3)1100.0101 (4)10011.1010 1-3 将下列二进制数转换成十六进制数。 (1)0011 (2)10101111 (3)1001.0101 (4)101010.001101 解:(1)3 (2)AF (3) 9.5 (4) 2A.34 1-4 将下列十六进制数转换成二进制数。 (1)2A (2)123 (3)7F.FF (4)432.B7 解:(1)101010 (2)100100011 (3)1111111.11111111 (4)10000110010.10110111 1-5 将下列十进制数转换成十六进制数(小数部分取一位有效数字)。 (1)43 (2)36.8 (3)6.73 (4)174.5 解:(1)2B (2)24.C (3)6.B (4)AE.8 1-6 将下列十六进制数转换成十进制数。 (1)56 (2)4F.12 (3)2B.C1 (4)AB.CD 解:(1)86 (2)79.0703125 (3)43.75390625 (4)171.80078125 1-7 完成下列各数的转换。 (1)(24.36)10=(00100100.00110110)8421BCD (2)(64.27)10=(10010111.01011010)余3BCD (3)(01011000)8421BCD =(58)10 (4)(10110011.1011)2421BCD=(53.5)10 1-8 写出下列带符号位二进制数所表示的十进制数。 (1)0101 (2)1011 (3)10101 (4)11100 解:(1)+5 (2)-3 (3)-5 (4)-12 1-9 试写出下列十进制数的二进制原码、反码和补码(码长为8)。 (1)+37 (2)-102 (3)+10.5 (4)-38 解:(1)[+37]原 =00100101, [+37] 反=00100101,[+37] 补=00100101 (2)[-102] 原=11100110,[-102] 反=10011001,[-102] 补=10011010 (3)[+10.5] 原=0001010.1,[+10.5] 反=0001010.1,[+10.5] 补=0001010.1 (4)[-38] 原=10100110,[-38] 反=11011001,[-38] 补=11011010

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

CMOS双输入与非门直流特性分析

实验四:与非门的直流分析 一、实验目的 1.掌握T-SPICE 的操作(包括加入工作电源、编辑对象、分析设定、输出设定、进行 模拟、观看结果等); 2.掌握直流分析的方法及流程,并会分析结果。 二、预习要求 1.了解对一个模块直流分析的基本要求(对电源、输入/输出如何定义); 2.了解整个直流分析流程。 三、实验内容 1.对与非门进行直流分析; 2.改变参数观看仿真结果。 四、实验报告要求 实验报告包括以下内容: 1.电路原理图及模拟结果; 2.调试过程; 3.遇到的问题及处理方法; 4.实验的体会。 五、操作步骤: 实验步骤: 1.新建文件夹:在电脑E 盘新建文件夹,文件夹名为ex4。 2.打开S-Edit 软件:执行D:\Tanner\tanner\S-Edit 目录下的sedit.exe 文件,即可打开S-Edit 程序。 3.另存新文件:选择File——Save As 命令,打开“另存为”对话框,在“保存在”下拉列表中选择保存的路径,在“文件名”文本框中输入新文件的名称,如ex4,如图下图所示。

4.复制NAND 模块:要复制ex2 的NAND 模块到ex4 文件中,必须先打开实验二编辑的 文件“ex2.sdb”,选择File——Open 打开ex2.sdb 文件。进行复制之前必须回到ex4 文件环 境,方法为选择Module——Open 命令,打开Open Module 对话框,在Files 下拉列表中选择ex4 选项,单击OK 按钮,回到ex4 环境,才能进行复制模块的操作。选择Module——Copy 命令,打开Copy Module 对话框,如下图所示,在Files 下拉列表中选择ex2 选项,在 Select Module To Copy 列表框中选择NAND 选项,单击OK 按钮,即完成将NAND 模块从 ex2 文件中复制到ex4 文件的操作。 5.打开NAND 模块:由于上一步骤复制模块的操作只是在ex4 文件中增加了NAND 模块(还有NAND 引用到的模块Vdd, Gnd,MOSFET_N 与MOSFET_P ),而ex4 依旧在Module0 模块的编辑环境下,所以要编辑NAND 模块必须先选择Module——open 命令,打开Open Module 对话框,如下图所示,在Files 下拉列表中选择ex4 选项,在Select Module To Open 列表框中选择NAND 选项,单击OK 按钮。

与非门作为与门、非门教案示例

与非门作为与门、非门教案示例与非门作为与门、非门教案示例 (一)教学目的 初步认识与非门可以代替与门、非门。 (二)实验器材 T065或74LS00型二输入端四与非门集成电路两块,100欧定值电阻1只,GD55—2型发光二极管1只,常闭按钮开关两个,一号干电池三节(附电池盒),MG42—20A 型光敏电阻1只。 (三)教学过程 1.复习 我们已经学过了与门、非门、与非门三种门电路,同学们还记得与门、非门、与非门使电路闭合的条件吗?同学们边回答,老师边板书: (与门输入端都是高电位时非门输入端是低电位时与非门只要有一个输入端是低电位) 与非门是最常见的门电路,这是因为不但它本身很有用而且在没有专用的非门、与门时(为了生产、调试的方便与规范,在集成电路产品中没有与门、非门,而只供应与非门),可以用与非门来分别代替它们。今天我们就学习如何把与非门作为与门、非门使用。板书:(第六节与非门作为与门、非门)

2.进行新课 (1)用与非门作为非门 同学们,现在我们研究只应用与非门的一个输入端A (或B),另一个输入端B(或A)空着,这个与非门的 开关条件。 问:把这个与非门的A与低电位相接时,它的输出端 是高电位还是低电位?把它当作一个电路的开关,此时 电路是开的,还是关的?(高电位,关的) 问:把这个与非门的A与高电位相接时,它的输出端 是高电位还是低电位?这个开关电路是开的,还是关的?(低电位,开的) 问:这样使用与非门,这个与非门可不可以看作是个 非门(与本节课复习中的板书呼应)?(可以)板书: (只应用与非门的一个输入端A或输入端B时,这个与非门�'be统闪朔敲拧 学生实验:让学生按课本图15—29连接电路,并说明把电路中的两个与非门都当做非门使用,然后 问:当有光照射到光敏电阻上时,左边的非门的A端 是低电位还是高电位?为什么?(低电位,因为此时光敏电阻的阻值很小)它的输出端(也就是第二个非门的输入端)是高电位还是低电位?(高电位)第二个非门的输出

实验一 二输入端与非门的设计EPM7128-bdf_百度文库.

实验一二输入端与非门的设计 利用EDA-V+板,使用Quartus II 9.0软件,完成实验内容。 二输入端与非门有2个输入端,1个输出端。在 EPM7128SLC84-15芯片内实现功能,选择合适的引脚。1. 实验目的 掌握Quartus II 9.0软件的使用; 学会实验箱EDA-V+资源的使用。 2. 实验原理 2二输入端与非门有2个输入端:A、B;1个输出端Y。其真值表如表1所示。 表1 4选1二输入端与非门真值表 地址输入输出 A B Y 0 0 1 0 11 10 1 110 3. 实验步骤

(1 建立工程项目: 确定具有合法的密码文件后,安装进入如下提示: 图1 点击NEXT进入建立工程对话窗口: 图2

或者,从主菜单选取File→New Project Wizard,同样出现图 2。来创建工程。 图3 从主下拉菜单主选择路径新建工程项目 出现对话框如图4所示。 图4 新建工程

更改工程保存的路径为D:\EDA_test\2nand,工程名称为2nand,文件名称为2nand,点击Next,提示是否创建“D:\EDA_test\2nand”? 选择“是”,出现: 在上图中的File name对话框内输入2nand.bdf,

然后,点击右侧的Add。 图3 添加文件到工程中 接着点击Next,在下图中选择所使用的器件。在 Family“系列”下拉窗口中选择MAX7000S,在Available devices 下选择EPM7128SLC84-15具体器件。

图4 选择器件 在图4中,点击Next进入图5。 图5 选择第三方工具 在图5中取默认值,直接点击Next进入图6。

二输入与非门、或非门版图设计

课程名称Course 集成电路设计技术 项目名称 Item 二输入与非门、或非门版图设 计 与非门电路的版图: .spc文件(瞬时分析): * Circuit Extracted by Tanner Research's L-Edit / Extract ; * TDB File: E:\cmos\yufeimen, Cell: Cell0 * Extract Definition File: C:\Program Files\Tanner EDA\L-Edit\spr\ * Extract Date and Time: 05/25/2011 - 10:03 .include H:\ VPower VDD GND 5 va A GND PULSE (0 5 0 5n 5n 100n 200n) vb B GND PULSE (0 5 0 5n 5n 50n 100n) .tran 1n 400n .print tran v(A) v(B) v(F) * WARNING: Layers with Unassigned AREA Capacitance. * * *

*

* *

* WARNING: Layers with Unassigned FRINGE Capacitance. * * * * *

* *

* * WARNING: Layers with Zero Resistance. * * * * * NODE NAME ALIASES * 1 = VDD (34,37) * 2 = A , * 3 = B , * 4 = F , * 6 = GND (25,-22) M1 VDD B F VDD PMOS L=2u W=9u AD=99p PD=58u AS=54p PS=30u * M1 DRAIN GATE SOURCE BULK M2 F A VDD VDD PMOS L=2u W=9u AD=54p PD=30u AS=99p PS=58u * M2 DRAIN GATE SOURCE BULK M3 F B 5 GND NMOS L=2u W= AD= PD=30u AS=57p PS=31u * M3 DRAIN GATE SOURCE BULK -18 M4 5 A GND GND NMOS L=2u W= AD=57p PD=31u AS= PS=30u * M4 DRAIN GATE SOURCE BULK -18 * Total Nodes: 6 * Total Elements: 4 * Extract Elapsed Time: 0 seconds .END 与非门电路仿真波形图(瞬时分析):

与非门作为与门、非门教案示例

与非门作为与门、非门教案示例 NAND gate as an example of "and gate" and "F ei gate"

与非门作为与门、非门教案示例 前言:小泰温馨提醒,物理学是研究物质运动最一般规律和物质基本结构的学科。作为自然科学的带头学科,物理学研究大至宇宙,小至基本粒子等一切物质最基本的运动形式和规律,因此成为其他各自然科学学科的研究基础。理论结构充分地运用数学作为自己的工作语言,以实验作为检验理论正确性的唯一标准,是当今最精密的一门自然科学学科。本教案根据物理课程标准的要求和针对教学对象是初中生群体的特点,将教学诸要素有序安排,确定合适的教学方案的设想和计划、并以启迪发展学生智力为根本目的。便于学习和使用,本文下载后内容可随意修改调整及打印。 (一)教学目的 初步认识与非门可以代替与门、非门。 (二)实验器材 T065或74LS00型二输入端四与非门集成电路两块,100欧定值电阻1只,GD55—2型发光二极管1只,常闭按钮开关两个,一号干电池三节(附电池盒),MG42—20A型光敏电阻1只。 (三)教学过程 1.复习 我们已经学过了与门、非门、与非门三种门电路,同学们还记得与门、非门、与非门使电路闭合的条件吗?同学们边回答,老师边板书:

(与门输入端都是高电位时非门输入端是低电位时与非门只要有一个输入端是低电位) 与非门是最常见的门电路,这是因为不但它本身很有用而且在没有专用的非门、与门时(为了生产、调试的方便与规范,在集成电路产品中没有与门、非门,而只供应与非门),可以用与非门来分别代替它们。今天我们就学习如何把与非门作为与门、非门使用。板书: (第六节与非门作为与门、非门) 2.进行新课 (1)用与非门作为非门 同学们,现在我们研究只应用与非门的一个输入端A(或B),另一个输入端B(或A)空着,这个与非门的开关条件。 问:把这个与非门的A与低电位相接时,它的输出端是高电位还是低电位?把它当作一个电路的开关,此时电路是开的,还是关的?(高电位,关的) 问:把这个与非门的A与高电位相接时,它的输出端是高电位还是低电位?这个开关电路是开的,还是关的?(低电位,开的)

集成电路课程设计(CMOS二输入与门).

课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 摘要 (2) 绪论 (3) 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 3.3、二输入与门电路 (8) 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计................................................... (20) 5.1、PMOS管版图设计 (20) 5.2、NMOS管版图设计 (22) 5.3、与门版图设计 (23) 5.4、总版图DRC检查及SPC文件的生成 (25) 六、心得体会 (28) 七、参考文献 (29) 八、附录 (30)

与门电路和与非门电路原理

什么是与门电路 从小巧的电子手表,到复杂的电子计算机,它们的许多元件被制成集成电路的形式,即把几十、几百,甚至成干上万个电子元件制作在一块半导体片或绝缘片上。每种集成电路都有它独特的作用。有一种用得最多的集成电路叫门电路。常用的门电路有与门、非门、与非门。 什么是门电路 “门”顾名思义起开关作用。任何“门”的开放都是有条件的。例如.一名学生去买书包,只买既好看又给买的,那么他的家门只对“好看”与“结实”这两个条件同时具备的书包才开放。 门电路是起开关作用的集成电路。由于开放的条件不同,而分为与门、非门、与非门等等。 与门 我们先学习与门,在这之前请大家先看图15-16,懂得什么是高电位,什么是低电位。 图15-17甲是我们实验用的与用的与门,它有两个输入端A、B和一个输出端。图15-17乙是它连人电路中的情形,发光二极管是用来显示输出端的电位高低:输出端是高电位,二极管发光;输出端是低电位,二极管不发光。 实验 照图15-18甲、乙、丙、丁的顺序做实验。图中由A、B引出的带箭头的弧线,表示把输入端接到高电位或低电位的导线。每次实验根据二极管是否发光,判定输出端电位的高低。 输入端着时,它的电位是高电位,照图15-18戊那样,让两输人端都空着,则输出瑞的电位是高电位,二极管发光。 可见,与门只在输入端A与输入端B都是高电位时,输出端才是高电位;输入端A、B只要有一个是低电位,或者两个都是低电位时,输出端也是低电位。输人端空着时,输出端是高电位。 与门的应用 图15-19是应用与门的基本电路,只有两个输入端A、B同低电位间的开关同时断开,A与B才同时是高电位,输出端也因而是高电位,用电器开始工作。 实验

CMOS二输入与非门设计

MOS 管集成电路设计》期中论文CMOS 二输入与非门设计 日期:2015 年 5 月21 日

目录 一电路设计 1.1与非门基础 (3) 1.2 CMOS二输入与非门 (4) 二版图设计 2.1 LASI7软件介绍 (5) 2.2版图设计过程 (5) 三规则检查 (8) 四LTspice 仿真 (10) 4.1电路仿真分析软件简介 (10) 4.2 LTspice仿真过程 (11) 五总结 (15) 六参考文献 (15)

摘要 MOS(Metal-Oxide-Semiconductor )晶体管是一种金属- 氧化物半导体硅场效应管,分为PMO管和NMO管两种,由NMO和PMOS共同构成的电路即为CMO电路。和传统的TTL电路相比,MOS H成电路具有功耗较低,速度较快,输入阻抗高,热稳定性好等优点,因而在目前有着广泛的应有,可以预见的是,MOS集成电路代替TTL电路已是大势所趋。 与非门是一种数字电路的基本逻辑电路,可以看做是与门与非门的结合,若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1),在数字电路中有着非常重要的作用。 本设计旨在采用CMO设计一个二输入的与非门,根据需要,它由两个PMO(M3和M4和两个NMO(M1和M2构成。其中,两个PMO作为上拉管,两个NMO作为下拉管,两个输入信号A和B分别加在两对互补的NMO管和PMO管的栅极上,输出从他们的漏极引出。设计完之后,用LASI7 软件画出版图并进行规则检查。 关键词:CMO、S 与非门、逻辑电路、LASI7 一电路设计

1.1与非门基础 与非门是数字电路中一种重要的逻辑电路,本设计设计的是二输入与非门,它有两个输入端和一个输出端,当输入均为高电平,输出为低电平;若输入中至少有一个为低电平,贝揃出为高电平,其逻辑符号如图1所示 图1二输入与非门逻辑符号 由于有两个输入,所以真值表中它的组合共有4种形式,如表1 所示 表1二输入与非门真值表 1.2 CMOS二输入与非门

相关文档
最新文档