单片机控制ADC0809的模数转换与显示

单片机控制ADC0809的模数转换与显示
单片机控制ADC0809的模数转换与显示

目录

摘要 (2)

第一章方案与论证比较 (3)

1.1电源解决方案 (3)

1.2电压输出方案 (3)

1.3电压显示方案 (3)

第二章系统总体架构 (4)

2.1系统总体设计思想 (4)

2.1.1 输入模式 (4)

2.1.2 输出模式 (4)

2.2系统稳压输入测量架构. (4)

2.3系统稳压输出架构 (5)

第三章系统硬件设计 (6)

3.1单片机最小系统设计 (6)

3.2可控直流电源设计 (8)

3.3模拟/数字量转换电路设计 (9)

3.4液晶接口电路设计 (12)

3.5降压测量电路设计 (14)

3.6 USB转串口电路设计 (15)

第四章系统软件设计 (16)

4.1总体软件设计思想与程序流程图 (16)

4.2关键程序说明 (17)

4.2.1系统初始化程序 (17)

4.2.2液晶底层驱动程序 (18)

4.2.3 AD转换程序 (19)

4.2.4数据修正程序 (20)

4.2.5系统主程序 (20)

第五章系统仿真验证与PCB的绘制 (22)

5.1.基于Multisim的电源仿真验证 (22)

5.2.基于Protues的单片机系统仿真验证 (23)

5.3基于Altium designer的PCB绘制 (24)

第六章课程设计总结 (25)

参考文献 (26)

附录 (27)

摘要

我们这次课程设计,以STC89C52RC芯片为系统核心处理器,加以必要的辅助输出电路、AD转换电路、液晶显示电路、稳压电路等设计了一个多功能可控直流电源。

它大体上有两个功能:

一是作为一个简易电压测试表使用,对外部0~+20V的直流电压进行测量,并将所测量的电压值显示在LCD液晶显示器上。

二是能由内部输出+1.25~+20V的稳定直流电压,该稳定电压能为该电压供电范围内的器件提供供电电源。同时,该输出电压能在LCD液晶显示屏上显示出该输出电压的实时电压数值。

这次的课程设计使我们加深了对单片机的认识,提高了学习、设计、开发单片机软件、硬件的能力。

关键词:可控直流电源测量输出1.25~20V LCD

1

2

第一章 方案与论证比较

1.1电源解决方案 单片机的工作离不开电源供电系统。电源供电的稳定性是单片机和其他器件稳定工

作的前提。所以说一个良好的电源供电系统对单片机系统工作至关重要。

方案(一):供电系统直接采用市场上的电源适配器,然后引出电源适配器的电源线和地线作为单片机供电系统的电源和地。

方案(二):对市电采用变压、整流、滤波、稳压等电路设计出一个适合单片机系统的电源。

结论:考虑到成本因素,市场上的电源不一定能和单片机系统

工作电压相匹配的问题,还有供电电源需要与输出电源同源的

问题,本设计选择方案(二)。

图1-1 稳压芯片LM7805

1.2电压输出方案

本系统的输出直流电压设定范围为1.25-20V 。相对低电压输出时要求精度较高,较

稳定。而输出相对高压则允许有一定的偏差。良好的输出解决方案是该输出稳定性优劣的重要因素。

方案(一):对市电采取变压、整流、滤波和电阻分压等电路获得所需电压范围。

方案(二):对市电采取变压、整流、滤波和稳压芯片稳压等电路获得所需电压范围。 结论:由于电阻本身存在误差,用电阻分压的方式获取所需的电压精度不够,而且需要采用多个电阻进行分压,操作起来比较麻烦。而采取稳压芯片的

稳压电路能输出较为稳定的电压,而且电压可调的灵活性强。本

设计采用方案(二)。

图1-2 稳压芯片LM317

1.3电压显示方案

本系统能输出一定范围的直流电压和对一定范围的直流电压进行测量。所以,良好

的人机交换和可视化的实时电压值显示必不可少。

方案(一):采用八段数码管对输入或输出电压的电压值进行显示。

方案(二):采用LCD 液晶显示屏对输入或输出电压的

电压值进行显示。

结论:使用八段数码管来对电压值进行显示,使得程序变得简单。但数码管所能显示的

3 内容并不多。而LCD 液晶显示器不仅能显示数字,

还能显示ASCII 码的字符,能携带更多的信息,而

且界面友好,编程人员可根据现实的方式和需要的

不同进行编程从而获得更好的可视化体验。本设计

采用方案(二)。

图1-3 QC1602A-LCD

第二章 系统总体架构

2.1系统总体设计思想

本系统以STC89C52RC 单片机芯片为核心处理器,再辅以A/D 转换电路,可调稳压输出电路,LCD 液晶显示电路,降压电路等电路实现多功能可控直流电源的功能。其有两个工作模式。以下对两种工作模式进行说明。

2.1.1模式一:输入模式。本系统能由输出模式转换到输入测量电压模式。外部一定范围的直流电压经电压输入电路输入到A/D 转换电路,同时该电压信号由模拟量转换为数字量传送到单片机内部中央处理器进行处理,并将处理结果显示到LCD 液晶显示器上。

2.1.2模式二:输出模式。

本系统有一个可调稳压输出电路,能输出一定范围稳定直流电压。同时,输出的电压信号经A/D 转换电路由模拟量转换为数字量。然后把该电压信号传送到单片机中央处理器进行数据处理,最后将输出的实时电压值显示在LCD 液晶显示器上。

2.2系统稳压输入测量架构

本系统稳压测量架构原理于输出架构相似。但其使用的对象是外部被测量的电压,而不是输出的电压。外部的电压经分压电路和A/D 转换电路,模拟信号转换为数字信号后传送经单片机进行数据处理,最后将测量所得的结果显示在LCD 液晶显示器上。 流程框图如下:

图2-2 稳压输入测量架构

2.3系统稳压输出架构

本系统的稳压输出架构采用一个变压电路,将市电电压转换为+20V左右的脉动电压,再经整流电路、滤波电路,对该电压进行整流和滤波。然后再将该电压分别输入到两个稳压电路中。其中一个稳压电路为可调稳压电路,用于一定范围的稳定直流电压输出;另外一个稳压电路能使输入的电压稳定输出为+5V,从而为单片机系统,AD转换芯片,LCD液晶显示器等器件提供工作电源。流程框图如下:

图2-1 稳压输出模式框图

电源几乎是所有元器件和电路工作都需要的基本条件,而对电压的测量也是对各种元器件等硬件学习开发设计的必须手段之一。所以稳定电源电压输出和电压测量在商业工业等领域都是不可缺少的一部分。本系统为多功能可控直流电源,系统通过对外部直流电压进行测量和输出一定范围的直流电压来实现两种不同的功能。虽然在原理和操作上有很多相似之处,但是两种工作方式确实能实现两种迥然不同的功能,达到了以尽可能少器件的方式尽可能实现多种功能的目的,以降低成本,实现绿色、环保的智能科技。

4

5

第三章 系统硬件设计

3.1 单片机最小系统设计

单片机最小系统是单片机能工作的最基本条件。没有最小系统单片机并不能正常工作。本单片机的最小系统包括电源电路、复位电路和时钟电路。三者缺一不可。

图3-1

单片机最小系统

3.1.1 电源电路

本最小系统的电源电路由变压电路、整流电路、滤波电路、分压和稳压电路和电源指示电路组成。其中变压电路将市电220V 交流经变压器降压成22V 左右的交流电压。然后经整流和滤波电路,将该交流电压整流成较为稳定的+20V 左右的直流电压。由于我们使用的稳压芯片输入电压要求在+10V 左右,所以要将该+20V 电压经两个电阻分压得到+10V 左右的电压,再输入经稳压芯片LM7805,最后得到+5V 的稳定电压源输出。该稳定电压源能为单片机和其他芯片器件供电。以下是该电源电路的原理图:

图3-2 变压、整流、滤波、分压电路

6

图3-3 稳压电路

稳压芯片采用FAIRCHILD 公司的LM7805。官方推荐的的输入电流IO=500mA ,输入电压VI=10V ,旁路电容Ci=0.33uF ,退藕电容Co=0.1uF ,正常情况下典型输出为5V 。以下为FAIRCHILD 公司官方给出的电气特性:

图3-4 LM7805典型电气特性

图3-5 电源指示电路与滤波抗干扰电路 当电源VCC 接通时,LED 会点亮,同时C12与C13用来滤除电源的波纹与高频干扰。

7

3.1.2 时钟电路

每个单片机都需要时钟电路来对确定单片机的工作频率。有的单片机使用内部时钟,有的使用外部时钟。而本系统则采用外接时钟的方式。本时钟电路采用一个频率为12MHz 的石英高速晶体振荡器。同时使高速晶体振荡器与两个无极性电容连接。以下为时钟电路的原理图:

图3-6 时钟电路

3.1.3 复位电路

当需要对单片机进行复位操作时,复位电路对单片机来说必不可少。单片机的复位操作有两种,一种是上电复位,另一种是手动复位。以下是原理图:

图3-7 复位电路

3.2可控直流电源设计

本系统的可控直流电源电路由市电变压电路、整流滤波电路、稳压电路组成,本稳压系统采用美国国家半导体公司的LM317稳压芯片,可输出+1.25V 到+20V 电压,能输出最大1A 电流。以下是官方提供的推荐电路:

图3-8 可调稳压电路

当调节滑动电阻器时,V out 即可输出一定范围内的稳定电压。

以下是本系统的可控直流电源电路图:

图3-9 可控直流电源电路

其中C4、C5、C6为旁路电容,分别为2200uF,10uF的电解电容和0.1uF的瓷片电容。而C7、C8、C9则为去耦电容,分别为10uF的点电解电容和0.1uF的瓷片电容。用于滤除电源的脉动波纹和一些高频干扰。

3.3 模拟/数字量转换电路的设计

本系统在输出或输入的同时其中一路电压信号进入到模拟/数字量转换电路中,转换为数字量传送给单片机进行处理。本系统的模拟/数字量转换电路主要用到一块美国国家半导体公司的ADC0809芯片。

图3-10 ADC0809内部结构图

8

ADC0809简介:

ADC0809是CMOS单片型逐次逼近式A/D转换器,它有8路模拟开关、地址锁存与译码器、比较器、8位开关树型A/D转换器。

ADC0809有28只引脚。各引脚功能如下:

IN0-IN7:8路模拟量输入端。

OUT1-OUT8:8位数字量输出端。

ALE:地址锁存允许信号线,告电平有效。

START:AD转换启动脉冲输入端,输入一个正脉冲(至少100ns)使其启动(上升沿复位,下降沿AD转换)。

EOC:数据结束信号线,转换期间一直为低电平,当转换结束时此段输出一个高电平。

OE:数据输出允许信号线。当AD转换结束时,给此端输入一个高电平,打开三态门,输出数字量。

CLK:时钟脉冲输入端,要求时钟不超过640KHz。

Vref+和Vref-:参考电压输入端。

Vcc:电源输入端。

GND:电源地。

双列直插式28脚的ADC0809芯片引脚图:

图3-11 ADC0809引脚图

ADC0809模数转换芯片的工作过程:

首先由CPU发出启动转换信号START,并送出地址选择信号ADDA、ADDB、ADDC选定模拟量输入通道,地址信号由地址锁存信号ALE控制锁存,发出启动转换后一定时间,转换结束信号EOC有效。在输出允许信号OE得到有效高电平时,转换结果通过三态输出锁存器输出至数据总线,从而完成一次A/D转换。

9

10 ADC00809工作的时序图:

图3-12 ADC0809工作时序图

官方提供的ADC0809模拟量转数字量的计算公式:

当Vfs=5V ,DMAX=255,DMIN=0时,输出的数字量:

Vin Dx 5255 。

AD转换电路的原理图:

图3-13 AD转换电路

其中,IN1-IN7为悬空,不作为信号输入端,只取IN0作为信号输入端。同时,ADDA、ADDB、ADDC共同接地,选中IN0通道。数据总线D0-D7接到单片机的P1总线。而CLK、START、EOC、OE、ALE分别接单片机的P2.0-P2.4。电压参考端REF+接VCC_5V,REF-接地。电压信号从IN0进入ADC0809,经芯片内部转换为数字量,输出到数据总线D0-D7,最后将总线上的数据送到单片机总线。

3.4 液晶接口电路设计

本系统需要对电压的数字信号进行处理和实时电压值的显示,液晶是人机交换的重要桥梁,是电压信号的显示的载体。

本液晶显示电路采用一块12864型LCD液晶显示器,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为128×64, 内置8192个16*16点汉字,和128个16*8点ASCII字符集.利用该模块灵活的接、方便的操口方式和简单作指令,可构成全中文人机交互图形界面。

VCC:电源输入端。额定输入电源为5V。

VSS:电源地。

VO:对比度调节端。通过该调节这端的输入电压能够调节液晶显示的对比度。

RS:命令与数据选择端。

R/W:读写命令控制端。此端为低电平为读操作。此端为高电平为写操作。

E:使能端。读操作时高电平有效。写操作时下降沿有效。

D0-D7:数据总线。用于液晶与单片机的数据通讯。

A:背光的正端+5V。

K:背光的负端0V。

11

LCD12864的读操作时序图:

图3-14 读操作时序图LCD12864的写操作时序图:

图3-15 写操作时序图时序参数:

12

液晶接口电路原理图:

图3-16 液晶接口电路

电源输入端与背光A端接+5V电源,电源地与背光K端接地。数据总线D0-D7接到单片机的数据总线P0.0-P0.7。RS、R/W、E端分别接单片机的P2.5、P2.6、P2.7引脚。单片机通过控制液晶的RS、R/W、E端进而对液晶进行控制,把命令和数据写进液晶控制器内,进而实现与液晶的通讯,最后将实时电压值显示在LCD液晶上。

由于单片机的P0总线为漏极输出的三态门,因此需要在P0总线上接上拉1KΩ的电阻排,接电阻排的同时也提高了P0口的驱动能力。

3.5 降压测量电路设计

要设计降压测量电路,首先要对ADC0809的工作原理要有熟悉的了解。下面再对ADC0809芯片与降压测量电路匹配使用做简要的说明。

ADC0809有两个参考电压输入端Vref+与Vref-。Vref-一般输入的参考电压为0V。而Vref+输入电压的最大值为电源电压,典型为+5V。参考电压最大为电源电压也就是说输入模拟量最大也只能输入电源电压。当需要测量到比电源电压大的时候,芯片并不能转换得到输入电压的真实值。严重甚至对芯片造成损毁。Array

图3-17 降压测量电路

13

降压测量电路由四个阻值为1K欧的电阻串联而成。输入的电压从R14开始输入电路。经R15、R16进入ADC0809的IN0端。由于R14、R15、R16的分压作用,输入IN0的电压最终只能为实际输入电压的四分之一。从而实现了对超过+5V的电压的测量作用。当输入电压值为+20V的时候,输入IN0的电压也刚好为+5V,这也很好的符合了设计的要求。

将四分之一的输入电压还原为输入的真实电压值则在软件部分进行操作。后面软件部分会对该操作进行详细说明。

3.6 USB转串口电路设计

USB转串口即实现计算机USB接口到通用串口之间的转换。为没有串口的计算机提供快速的通道,而且,使用USB转串口设备等于将传统的串口设备变成了即插即用的USB设备。作为应用最广泛的USB接口,每台电脑必不可少的通讯接口之一,它的最大特点是支持热插拨,即插即用。

本系统设计USB转串口电路主要是用于计算机与单片机之间的通讯。当我们需要记录电压数据的时候,通过USB转串口电路,就能把所得的实时电压数据就会发送到上位机,从而把相关数据进行记录和保存。

其次,我们同时能把这个电路作为单片机的下载器,把程序烧录进单片机。

图3-18 USB转串口电路

USB转串口电路使用到一块南京沁恒公司的CH340芯片。单片机的P3.0串口经一个稳压二极管接到CH340的TXD端,P3.1经一个100欧的电阻接CH340的RXD端。而UD+和UD-则分别接USB的D+和D-端。CH340需要外接一个石英高速晶体振荡器为芯片内部提供时钟。

小结:

总体来说,硬件电路设计不是太复杂。要注意的是每个电路模块的细节问题。比如在一些需要提供稳定电源的电路上,电源和地之间接上去耦电容用来滤除波纹和高频干扰。注意对模拟信号线和数字信号线进行分开布线。差分信号线尽量平行、等长和靠近,以减低干扰信号对其造成影响等等。这些都是决定系统成败的小细节。我们也应该养成良好的layout习惯,减少一些非智力的因素对系统造成不良影响。

14

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

第十八章 模数转换

第十八章 习题 18-1.在图18.1.3所示的权电阻D/A 转换器中R 0 = 23R = 80K , R f = 5K , 则R 1 = 22R , R 2 =21R ,R 3 =20 R ,各应选择多大数?若REF V =5V ,输入的二进制数码D 3D 2D 1D 0 =1111;求输出电压v 0= ? 解:由于R 0 = 23R = 80K Ω, 所以R = R 0/23 = 10K Ω 故 R 1 = 22R = 40K Ω R 2 = 21R = 20K Ω R 3 = 20 R = 10K Ω v 0 =-R 2R 3 f REF V Σ 3i=0 D i 2i =ΩK 10×25 ×ΩK 53 ×15 = -4.69V 18-2. 在图18.1.4T 形电阻网络D/A 转换器中REF V = 5V ,R f = 30K Ω, R = 10K Ω,求对应输入4位二进制数码为0101,0110,及1101的输出电压v 0 解:T 形电阻网络D/A 转换器中v 0=-4 REF 2 V ·R 3R f Σ 3i=0 D i 2i (n=4),由于R f =3R ∴V 0 =-4 REF 2V Σ3i=0D i 2i 。 所以当D 3D 2D 1D 0=0101时 V 0=-? 165 5=-1.56V 当D 3D 2D 1D 0=0110时 V 0=-? 16 5 6=-1.88V 当D 3D 2D 1D 0=1101时 V 0=-? 16 5 13=-4.06V 18-3. 在T 形电阻网络D/A 转换器中,若n=10当D 9=D 7=1,其余位均为0,在输出端测得电压v 0=3.125V ,向该D/A 转换器的基准电压?设电路中R f =3R. 解:在T 形电阻网络D/A 转换器中 v 0=-R 3R f ·n REF 2V Σn-1 i=0D i 2i 当n=10时 v 0=-R 3R f ·10 REF 2V Σ9i=0D i 2i 当D=D 9D 8D 7D 6D 5D 4D 3D 2D 1D 0=10100000000时, (1010000000)2 =(640)10 REF V = 10 7 9 2 ) 22(125.3+?=-1.95V 18-4.已知某D/A 转换电路,最小分辨电压LSB V =5Mv ,最大满刻度输出电压m V =10V, 试求该电路输入数字量的位数n 应是多少?

模数转换模块地位与作用

模数转换模块地位与作用 模数转换模块简介: DAM-6160是模数转换模块,可采集16路单端模拟信号;模块采用高性能12位AD芯片,通过电路处理及软件特殊算法,采集测量精度优于±0.2%。模块配置有RS232接口,方便与PC或PLC通信,模块配置有RS485接口,可单独与PC或PLC通信,也可以与多个485模块组网使用。DAM-6160采用逐次逼近型模数转换器,分辨率为12位,通过特殊软件处理,分辨率可达14位,测量精度优于0.2%(典型值)。用户可通过简单的命令对模块进行现场校准,提高现场测量精度。能满足大多数的工业现场及安防、智能楼宇、智能家居、电力监控、过程控制等场合。产品针对工业应用设计:通过DC-DC变换,实现测量电路和主控电路电源隔离;同时控制单元与信号采集单元采用高性能磁隔离技术实现电气隔离,与一般的光电隔离相比数据通信更快更可靠。采用485/CAN隔离电路,将通信与系统单独隔离开,消除通信设备之间共模干扰。模块配有瞬态抑制电路,能有效抑制各种浪涌脉冲,保护模块在恶劣的环境下可靠工作。 模数转换模块参数: 输入通道数:16路单端输入 输入范围:+20mA,+5V,+10V,+24V 转换速率:40次/秒(全通道) AD转换分辨率:优于12位 测量精度:±0.2%(典型值) 输入端过压保护,过流保护,并有低通滤波 常模抑制(NMR):60dB 隔离耐压:DC2500V

ESD保护:±15KV 供电范围:DC+8~+36V 地址/波特率/量程可由用户配置 支持MODBUS-RTU协议和ASCII 支持模块主动发送数据模式 支持RS485,RS232支持定制CAN RS485隔离通信 功耗:小于1W 工作温度:-40℃~+80℃ 工业级V0级防火塑料外壳保障产品应用各类环境安全 安装方式:标准DIN35导轨安装 型号输入类型通道数通讯接口 60同系列其他型号: DAM-6010模拟量1AI RS485和RS232 DAM-6020模拟量2AI RS485和RS232 DAM-6040模拟量4AI RS485和RS232 DAM-6080模拟量8AI RS485和RS232 DAM-6084模拟量、开关量8AI+4IO RS485或RS232 DAM-6044模拟量、开关量4AI+4IO RS485或RS232 DAM-6160模拟量16AI RS485和RS232 模数转换模块接线: 所谓模拟量信号是指连续的,任何时刻可为任意一个数值的信号,例如我们常见的温度、压

STC12C5A60S2单片机的AD转换

A/D转换测试程序(ADC查询方式) #include “stc12c5a.h”//头文件在STC公司主页上下载 #include ”intrins.h” //与STC12C5A60S2单片机ADC相关的寄存器说明// Sfr ADC_CONTR = 0xBC;//AD转换控制寄存器 Sfr ADC_RES = 0xBD;//AD转换结果寄存器高 Sfr ADC_RESL = 0xBE;//AD转换结果寄存器低 Sfr P1ASF = 0x9D;//P1口模拟转换功能控制寄存器 Sfr AURX1 = 0xA2;//AD转换结果存储方式控制位 #define ADC_POWER 0x80 //ADC电源开 #define ADC_FLAG 0x10 //ADC结束标志位 #define ADC_START 0x08 //ADC启动控制位设为开 #define ADC_SPEEDLL 0x00 //设为540个时钟周期ADC一次 #define ADC_SPEEDL 0x20 //设为360个时钟周期ADC一次 #define ADC_SPEEDH 0x40 //设为180个时钟周期ADC一次 #define ADC_SPEEDHH 0x60 //设为90个时钟周期ADC一次 void AD_init(void); void delay(unsigned int a); unsigned int AD_get(unsigned char n); float AD_work(unsigned char n); void main() { unsigned char i; AD_init(); while(1) { for(i=0;i<8;i++) { AD_work(i); delay(20); } } } unsigned int AD_get(unsigned char n) //第n通道ADC采样函数 { unsigned int adc_data; ADC_RES = 0; //清零 ADC_CONTR=ADC_POWER|ADC_SPEEDLL|n|ADC_START;//打开AD转换电源、

DAC_ADC模数及数模转换器的发展综述

DAC_ADC模数及数模转换器的发展综述 1 概述 随着数字技术,特别是计算机技术的飞速发展普及,在现代控制、通讯及检测领域中,对信号的处理广泛采用了数字计算机技术。由于系统的实际处理对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别和处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析、处理后输出的数字量往往也需要将其转换成为相应的模拟信号才能为执行机构所接收。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路——模数转换电路或数模转换电路。 能将模拟信号转换成数字信号的电路,称为模数转换器(简称ADC转换器);而将能反数字信号转换成模拟信号的电路称为数模转换器(简称DAC转换器),ADC转换器和DAC 转换器已经成为计算机系统中不可缺少的接口电路。 2 数模转换电路 2.1 数模转换电路原理 数字量是用代码按数位组合起来表示的,对于有权码,每位代码都有一定的权。为了将数字量转换成模拟量,必须将每1位的代码按其权的大小转换成相应的模拟量,然后将这些模拟量相加,即可得到与数字量成正比的总模拟量,从而实现了数字—模拟转换。这就是构成DAC转换器的基本思路。 2.2 数模转换电路的主要性能指标 DAC转换器的主要性能指标有:转换速度、转换精度、抗干扰能力等。在选用D/A转换器时,一般应根据上述几个性能指标综合进行考虑。 2.3 二进制加权架构 从概念上讲,最简单的DAC采用的是二进制加权架构,在该架构中,将n个二进制加权元件(电流源、电阻器或电容器)进行组合以提供一个模拟输出(n = DAC分辨率)。这种架构虽然最大限度地减少了数字编码电路,但MSB和LSB加权之间的差异却随着分辨率的增加而增大,从而使得元件的精确匹配变得很困难。采用该架构的高分辨率DAC不仅难以制造,而且还对失配误差很敏感。 2.4 开尔文(Kelvin)分压器架构

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

单片机AD模数转换实验报告

1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。

1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB , LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

基本模数转换器(ADC)的设计

《数字逻辑电路分析与设计》课程 项目 实施报告 题目(A):基本模数转换器(ADC)的设计 组号: 8 任课教师:。。。 组长:。。。。 成员:。。。。 成员:。。。 成员:。。。 成员:。。。 联系方式:。。。 二零一四年十月二十五日

基本模数转换器(ADC )的设计 一.设计要求 (1) 设计一个每单次按下按钮,就能够实现数模转换的电路,并用LED 显示对应输入模拟电压(0—3V )的等级,当输入电压>3V 后,有“溢出”显示。 (2) 功能模块如图: (3) 图中的“模数转换”为本教材第六章的并行ADC 转换电路。在此基础上自行设计按键、LED 显示、模拟电压调节等模块,实现单次模数转换的功能。 模拟电压 调节模数转换LED 显示 按键 5V 电源

自行设计溢出标记的显示。 (4) 本电路的测试方法是,通过一个电位器对电源电压连续分压,作为ADC 的输入电压,每按下一次按键时,ADC 电路进行一次ADC 转换,并将转换的结果用数码管显示出来。注意不要求显示实际的电压值,仅显示模拟电压的量化等级。 二.电路原理图 LED 显示

三.设计思路 根据题目要求,我们的电路本应分五个个模块,但实验室缺少8-3编码器不能实现转化,所以只能有四个一下模块:模拟电压调节;比较电路;记忆模块;LED显示。模拟电压的调节可以用划变电阻来调节电压,理想中数模转化模块应由比较器,D触发器和编码器来实现,在我们的实际电路中我们只用了前两者。最终我们用LED的亮灭来显示结果。 具体原理叙述如下: 在比较电压时,将参考电压V ref经电阻分压器产生一组不同的量化电平V i:v1=1/16V ref,v2=3/16V ref,v3=5/16V ref ,v4=7/16V ref ,v5=9/16V ref ,v6=11/16V ref ,v7=13/16V ref ,v8=15/16V ref ,这些量化电平分别送到相应lm339比较器的反相输入端,而输入电压V同时作用于lm339比较器的同相输入端。 当V大于V i时,第i个比较器输出状态1,即高电平;反之,比较器输出状态0,即低电平。比较器的输出加到D触发器的输入端,在时钟脉冲CP的作用下,把比较器的输出存入触发器,得到稳定的状态输出Q,再由LED的亮暗状态显示,高电平则亮,低电平就暗。 当V≥15/16 V ref的时候,即V超过该转换器的最大允许的输入电压的时候产生“溢出”,我们使用了一个红色的报警LED亮作为显示。 此外,鉴于会因为按键时间的长短不一而造成的脉冲不整齐的问题,需要

基于51单片机的模数转换(AD)实验设计

HEFEI UNIVERSITY 单片机课程综述报告 主题基于51单片机的模数转换(A/D)实验设计姓名郭丽丽 专业通信工程 学号 1105021006 班级 11级通信(1)班 指导老师汪济洲 2014 年 6 月 2 日

目录 1.实验目的与要求 (1) 1.1实验目的 (1) 1.2实验要求 (1) 2.实验原理 (1) 2.1电路原理图 (1) 2.2 Proteus7.4 软件简介 (2) 3、实验步骤 (6) 4、源程序代码 (6) 5. 实验结果分析 (10) 6.总结 (10)

1.实验目的与要求 1.1实验目的 1.掌握A/D转换与单片机的接口方法 2.了解A/D芯片ADC0809转换性能及编程方法 3.通过实验了解单片机如何进行数据采集 1.2实验要求 1.采用查询法或中断法编程进行A/D采集; 2.采集0~5V范围的电压信号(以电位器模拟被测信号),使用4位串行数码管显示0~5V数值,小数点保留三位,实现简易电压表功能。 2.实验原理 2.1电路原理图 熟悉8051的输入输出端口的使用方法, 本实验的电路连接如图1所示。 图1 连接电路

2.2 Proteus7.4 软件简介 Proteus是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: ①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 ②支持主流单片机系统的仿真。目前支持的单片机类型有:ARM7(LPC21xx)、8051/52系列、AVR系列、PIC10/12/16/18系列、HC11系列以及多种外围芯片。 ③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2、MPLAB等软件。 ④具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。 其操作界面如下图所示: 图2 Proteus操作页面

《数字电子技术》康华光 习题&解答 第十章 模数与数模转换器

《数字电子技术》康华光 习题&解答 第十章 模数与数模转换器 10.1 D/A 转换器,其最小分辨电压V LSB =4mV ,最大满刻度输出电压V om =10V ,求该转换器输入二进制数字量的位数。 该转换器输入二进制数字量的位数为12。 10.2 在10位二进制数D/A 转换器中,已知其最大满刻度输出模拟电压V om =5V ,求最小分辨电压V LSB 和分辨率。 1 2 1om SLB -= n V V 最小分辨电压 mV 51023 51 2 om SLB ≈= -=n V V 分辨率 001.01023 1 1 2 11 21 10 ≈= -= -n 10.3图题10.3所示电路可用作阶梯波发生器。如果计数器是加/减计数器,它和D/A 转换器相适应,均是10位(二进制),时钟频率为1MHz ,求阶梯波的重复周期,试画出加法计数和减法计数时D/A 转换器的输出波形(使能信号S=0,加计数;S=1,减计数)。 V R EF 9 D D 0 D /A 转换器 2 加/减计数器 10 Q Q 9 S C P O v 图题10.3 i i i n i n D R R V D R R V V 2 2 22 9 i 101f REF 1 i 1f REF o ∑∑=-== = i i D K 29 i ∑== 当D/A 转换器的输入为000H 时, o =K V 。 当D/A 转换器的输入为3FFH 时, 1023 o =K V 。 S=0时,加法计数,D/A 转换器的输出波形见图T10.3 S=1时,减法计数,D/A 转换器的输出波形见图T10.3。

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

模数转换器ADC0809应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当O E端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。 4.电路原理图 5.程序设计: (1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。 (2).进行A/D转换之前,要启动转换的方法: ABC=110选择第三通道 ST=0,ST=1,ST=0产生启动转换的正脉冲信号 . (3). 关于0809的计算: ad0809是根据逐位逼近的方法产生数据的。。 参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0. 0196V 设输入电压为X则: X-27*0.0196>=0则AD7=1否则AD7=0。 X-26*0.0196>=0则AD6=1否则AD6=0。 X-20*0.0196>=0则AD0=1否则AD0=0。 (27指2的7次方。26-------20同理) 若参考电压为0-1V (1-0)/255≈0.0039V精度自然高了。。可测量范围小了。 1)汇编源程序: CH EQU 30H DPCNT EQU 31H DPBUF EQU 33H GDATA EQU 32H ST BIT P3.0

AD转换实验报告

A/D转换实验报告

摘要 本设计是利用AT89C51、ADC0809、CD4027芯片为核心,加以其他辅助电路实现对信号的A/D转换,其中以单片机AT89C51为核心控制A/D转换器。先是对信号进行采集,然后用ADC0809对信号实现从模拟量到数字量的转换。改变采样数据,调整电路,使其达到精确转换。

目录 1.方案设计与论证 (1) 1.1理论分析 (1) 1.2输出、输入方案选择 (1) 1.3显示方案 (2) 1.4时钟脉冲选择 (2) 2.硬件设计 (2) 2.1A/D转换器模块 (2) 2.2单片机模块 (3) 2.3JK触发器模块 (4) 3软件设计 (4) 4.仿真验证与调试 (5) 4.1测试方法 (5) 4.2性能测试仪器 (7) 4.4误差分析 (7) 5.设计总结及体会 (5) 附录(一)实物图 (6) 附录(二)软件程序 (6)

1.方案设计与论证 1.1理论分析 8位A/D转换由芯片内部的控制逻辑电路、时序产生器、移位寄存器、D/A转换器及电压比较器组成,它具有将模拟量转换成数字量的特性,其原理图如下: AD转换原理图(1) 1.2输出、输入方案选择 A/D转换器有多路选择器,可选择八路模拟信号IN0~IN7中的一路进入A/D转换。现在选择IN0通道作为输入,则对应的地址码位ADD C=0、ADD B=0、ADD A=0。当转换完成后,OE=1,打开三态输出锁存缓冲器,将转换数据从D7~D0口输出到单片机的P0端口。 IN口输入D端口输出 A/D转换器 图(2)

1.3显示方案 单片机控制数码管显示有两种动态和静态两种方法,由于静态控制数码管每次只能显示一位,造成资源浪费,所以选择动态扫描,并增加变换频率。 1.4时钟脉冲选择 方案一:可以直接用矩形波来控制 方案二:ALE通过JK触发器完成二分频,然后 Q端接CLK。因为晶振的频率是12MHz,ALE的频率为12NHz×1/6=2MHz,经过JK 触发器二分频后就是1MHz. 2.硬件设计 2.1 A/D转换器模块 A/D转换电路图(3) 模拟量从IN0端口输入,经电压比较器后输入到控制电路,转换后从D0~D7口输出,地址码位ADD C=0、ADD B=0、ADD A=0。OE 端输出允许控制信号,EOC转换结束控制信号,EOC=0,转换结束后EOC=1。START转换启动信号,上升沿将片内寄存器清零,下降

TLC549模数转换器的控制实验

A/D芯片TLC549的转换与数据采集实验 1、实验目的 (1) 掌握A/D转换与单片机的接口方法; (2)掌握A/D芯片TLC549的编程方法; (3)掌握数据采集程序的设计方法; 2、实验内容 利用实验开发装置上的TLC549做A/D转换器,对电位器提供的模拟电压信号进行定时采样,结果在数码管上进行显示。 3、I/O地址 A/D转换芯片 TLC549 CLK P1.5 时钟位 DAT P1.7 数据位 CS P1.6 选片位 4、实验线路 将TLC549的CLK接P1.5、DAT接P1.7、CS接P1.6,将模拟电压输入端连到电位器的电压输出端,并接万用表进行输入电压测量。 5、实验步骤 在PC机输入源程序并汇编,然后下载到单片机上,进行调试。 调节电位器,电压从0V到5V变化,记录数码管的显示数值。记录到表中。

6、实验报告 (1) 整理好实验程序和实验记录,进行数据处理分析并做图。 (2) 数据采集中,如何实现精确的定时数据采集? (3) 数码管动态扫描显示程序设计中,显示刷新的时间如何确定? TLC549实验程序 #include "reg52.h" #include #define uchar unsigned char #define uint unsigned int /*************************************************** TLC549 A/D转换 ****************************************************/ sbit CLK=P1^5; sbit DAT=P1^7; sbit CS =P1^6; uchar TLC549ADC(void) { uchar i,x; CLK=0; DAT=1; CS=0; for(i=0;i<8;i++) { CLK=1; x<<=1; if(DAT==1) x++; CLK=0; } CS=1; return (x); } uint y; uchar x; void main() { while(1)

单片机实验数据采集_AD转换

单片机实验报告 姓名: XX 班级: XXXXX 学号: XXXXXXX 专业:电气工程与自动化

实验1 名称:数据采集_A/D转换 一、实验目的 ⑴掌握A/D转换与单片机接口的方法; ⑵了解A/D芯片0809 转换性能及编程方法; ⑶通过实验了解单片机如何进行数据采集。 二、实验设备 装有proteus和keil软件的电脑一台 三、实验说明及实验原理: A/D 转换器大致分有三类:一是双积分A/D 转换器,优点是精度高,抗干扰性好,价格便宜,但速度慢;二是逐次逼近式A/D转换器,精度、速度、价格适中;三是并联比较型A/D转换器,速度快,价格也昂贵。实验用ADC0809属第二类,是8位A/D转换器。每采集一次一般需100μs。由于ADC0809A/D 转换器转换结束后会自动产生EOC 信号(高电平有效),取反后将其与8031 的INT0 相连,可以用中断方式读取A/D转换结果。ADC0809 是带有8 位A/D转换器、8 路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1) ADC0809 的内部逻辑结构 由图1.1 可知,ADC0809 由一个8 路模拟开关、一个地址锁存与译码器、一个A/D 转换器和一个三态输出锁存器组成。多路开关可选通8 个模拟通道,允许8 路模拟量分时输入,共用A/D 转换器进行转换。三态输出锁器用于锁A/D 转换完的数字量,当OE 端为高电平时, 才可以从三态输出锁存器取走转换完的数据。 (2) ADC0809 引脚结构 ADC0809各脚功能如下: D7 ~ D0:8 位数字量输出引脚。IN0 ~ IN7:8位模拟量输入引脚。 VCC:+5V工作电压。GND:地。 REF(+):参考电压正端。REF(-):参考电压负端。 START:A/D转换启动信号输入端。 ALE:地址锁存允许信号输入端。(以上两种信号用于启动A/D转换). EOC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。 OE:输出允许控制端,用以打开三态数据输出锁存器。 CLK:时钟信号输入端(一般为500KHz)。 A、B、C:地址输入线。 (3) ADC0809 对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。地址输入和控制线:4 条ALE为地址锁存允许输入线,高电平有效。当ALE 线为高电平时,地址锁存与译码器将A,B,C 三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B 和C为地址输入线,用于选通IN0-IN7 上的一路模拟量输入。通道选择表如下表所示。 C B A 选择模拟通道 0 0 0 IN0 0 0 1 IN1 0 1 0 IN2 0 1 1 IN3 1 0 0 IN4 1 0 1 IN5

AD_DA原理及主要技术指标

一.产生原因 随着现代科学技术的迅猛发展特别是数字系统已广泛应用于各种学科领域及日常生活微型计算机就是一个典型的数学系统。但是数字系统只能对输入的数字信号进行处理其输出信号也是数字信号。而在工业检测控制和生活中的许多物理量都是连续变化的模仿量如温度、压力、流量、速度等这些模拟量可以通过传感器或换能器变成与之对应的电压、电流或频率等电模拟量。为了实现数字系统对这些电模拟量进行检测、运算和控制就需要一个模拟量与数字量之间的相互转换的过程。即经常需要将模拟量转换成数字量简称为AD转换完成这种转换的电路称为模数转换器(Analog to Digital Converter) 简称ADC;或将数字量转换成模拟量简称DA转换完成这种转换的电路称为数模转换器(Digital to Anal og Converter) 简称DAC图1是某微机控制系统框图。 二.ADC和DAC基本原理及特点 2.1 模数转换器(ADC)的基本原理 模拟信号转换为数字信号一般分为四个步骤进行即取样、保持、量化和编码。前两个步骤在取样-保持电路中完成后两步骤则在ADC中完成。 常用的ADC有积分型、逐次逼近型、并行比较型/串并行型、Σ -Δ调制型、电容阵列逐次比较型及压频变换型。下面简要介绍常用的几种类型的基本原理及特点: 1)积分型(如TLC7135) 。 积分型ADC工作原理是将输入电压转换成时间或频率,然后由定时器/计数器获得数字值。其长处是用简朴电路就能获得高分辨率,但缺点是由于转换精度依靠于积分时间因此转换速率极低。 初期的单片ADC大多采用积分型,现在逐次比较型已逐步成为主流。双积分是一种常用的AD 转换技术具有精度高,抗干扰能力强等优点。但高精度的双积分AD芯片价格较贵,增加了单片机系统的成本。 2)逐次逼近型(如TLC0831) 。 逐次逼近型AD由一个比较器和DA转换器通过逐次比较逻辑构成从MSB开始顺序地对每一位将输入电压与内置DA转换器输出进行比较经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低在低分辨率( 12位)时价格很高。 3)并行比较型/串并行比较型(如TLC5510) 。 并行比较型AD采用多个比较器仅作一次比较而实现转换又称FLash型。由于转换速率极高n位的转换需要2n - 1个比较器因此电路规模也极大价格也高只适用于视频AD 转换器等速度非凡高的领域。串并行比较型AD结构上介于并行型和逐次比较型之间最典型的是由2个n /2位的并行型AD转换器配合DA转换器组成用两次比较实行转换所以称为Halfflash型。 二.4)Σ-Δ调制型(如AD7701) 。 Σ- Δ型ADC以很低的采样分辨率( 1位)和很高的采样速率将模拟信号数字化通过使用过采样、噪声整形和数字滤波等方法增加有效分辨率然后对ADC输出进行采样抽取处理以降低有效采样速率。Σ-Δ型ADC的电路结构是由非常简单的模拟电路和十分复杂的数字信号处理电路构成。 5)电容阵列逐次比较型。 电容阵列逐次比较型AD在内置DA转换器中采用电容矩阵方式也可称为电荷再分配型。一般的

实验十ADC0832数模转换的显示

实验报告十 实验名称:ADC0832数模转换的显示 目的:ADC0832是8脚双列直插式双通道A/D转换器,能分别对两路模拟信号实现模—数转换,可以用在单端输入方式和差分方式下工作。ADC0832采用串行通信方式,通过DI 数据输入端进行通道选择、数据采集及数据传送。8位的分辨率(最高分辨可达256级),可以适应一般的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0~5V之间。具有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。 ADC0832的工作原理: 正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时使用并与单片机的接口是双向的,所以在I/O口资源紧张时可以将DO和DI并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK 和DO/DI 的电平可任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟(CLK)输入端输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第一个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第二、三个脉冲下沉之前DI端应输入两位数据用于选择通道功能。 通道地址通道 工作方式说明 SGL/DIF ODD/SIGN 0 1 0 0 + - 差分方式 0 1 - + 1 0 + 单端输入方式 1 1 + 表1:通道地址设置表 如表1所示,当此两位数据为“1”、“0”时,只对CH0 进行单通道转换。当2位数据为“1”、“1”时,只对CH1进行单通道转换。当两位数据为“0”、“0”时,将CH0作为正输入端IN+,CH1作为负输入端IN-进行输入。当两位数据为“0”、“1”时,将CH0作为负输入端IN-,CH1 作为正输入端IN+进行输入。到第三个脉冲的下降之后DI端的输入电平就失去输入作用,此后DO/DI端则开始利用数据输出DO进行转换数据的读取。从第4个脉冲下降沿开始由DO端输出转换数据最高位Data7,随后每一个脉冲的下降沿DO端输出下一位数据。直到第11个脉冲时发出最低位数据Data0,一个字节的数据输出完成。也正是从此位开始输出下一个相反字节的数据,即从第11个字节的下降沿输出Data0。随后输出8位数据,到第19 个脉冲时数据输出完成,也标志着一次A/D 转换的结束。最后将CS置高电平禁用芯片,直接将转换后的数据进行处理就可以了。时序说明请参照图4。

相关文档
最新文档