课程设计(论文)基于lcd液晶显示的多功能数字钟的设计(附pcb图及电路原理图)

目录

1前言 (1)

2总体方案设计 (2)

2.1设计内容 (2)

2.2设计内容 (2)

2.3方案论证 (3)

2.4方案选择 (4)

3单元模块设计 (5)

3.1各单元模块功能介绍及电路设计 (5)

3.1.1 温度采集电路 (5)

3.1.2 DS1302时钟电路 (5)

3.1.3 串行通信接口电路 (6)

3.1.4 USB连接电路 (6)

3.1.5 按键电路 (7)

3.1.6液晶显示显示电路 (7)

3.2特殊器件介绍 (7)

3.2.1 STC89C52单片机芯片 (7)

3.2.2 DS1302介绍 (8)

3.2.3 温度传感器DS18B20 (9)

3.2.4 液晶显示LCD1602 (9)

4软件设计 (10)

4.1软件选择 (10)

4.2软件设计流程 (10)

4.2.1 温度采集流程 (11)

4.2.2 日期数据处理流程 (12)

5系统的仿真及调试 (13)

5.1系统仿真 (13)

5.2硬件调试 (13)

5.3软件调试 (14)

6结论 (16)

7总结与体会 (17)

7.1设计小结 (17)

7.2设计收获及改进 (17)

7.3致谢 (17)

8参考文献 (18)

附录: (19)

1前言

单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。单片机也被称为微控制器(Microcontroller),它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。单片机诞生于20世纪70年代末,经历了SCM、MCU、SOC三大阶段。

STC单片机完全兼容51单片机,并有其独到之处,其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,由于这些特点使得 STC 系列单片机的应用日趋广泛。本文设计了一种基于STC公司的STC89C52单片机,使用Dallas 的一线制数字温度计DS18B20作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据字符型液晶显示器LCD1602上显示,本次设计的多功能数字钟采用数字电路对日期和温度进行控制设置,我们采用LCD液晶显示,以24小时的计时方式,根据LCD显示原理进行显示,定时器计数。在本次设计中,电路具有显示日期、时间、温度的基本功能,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期;系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以对当前的时间进行调整。

2总体方案设计

2.1 设计内容

完成基于LCD液晶显示的多功能数字钟的设计。要求该电路具有显示日期、时间、温度的基本功能,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期;

2.2 设计内容

方案一:利用QuartusII软件设计一个数字钟,对设计电路进行功能仿真,并下载SOPC实验系统中,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。能进行正常的时、分、秒计时功能;锁死功能--锁死状态下时钟保持不变;清零功能—-时钟计时电路和万年历电路;闹表功能—-定时精确到分,闹钟设计响一分钟,可关闭;相应的电路图如下图2.1所示:

图2.1 QuartusII软件设计数字钟电路图

方案二:采用单片机STC89C52RC芯片以及相关的芯片来实现多功能的数字钟。该设计选用一线制温度计DS18B20 作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据在字符型液晶显示器LCD1602上显示出来。本文设计了一种基于STC公司的ST89C52单片机,使用Dallas的一线制数字温度计DS18B20作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据字符型液晶显示器LCD1602上显示,本次设计的多功能数字钟采用数字电路对日期和温度进行控制设置,我们采用LCD液晶显示,以24小时的计时方式,根据LCD显示原理进行显示,定时器计数。在本次设计中,电路具有显示日期、时间、温度的基本功能,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期。

系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以通过几个按键对当前的时间进行调整,对闹钟进行随意设置,以及温度超过上下限会自动进行报警(LED 亮)等功能。其原理框图如下图2.2所示:

图2.2 数字钟原理框图

2.3 方案论证

我们可以看到,方案一使用的基于Altera公司出品QuartusII软件以及相应的实验平台完成的多功能数字计时器,由于时钟的计时范围是00:00:00---23:59:59,所以我们需要设计模六十和模二十四的计数器组成时钟计时电路。校分、校时、清零电路需要输入一些控制信号给时钟计时电路,当然这些控制信号是由开关提供的。要实现整点

报时功能,一个报时控制电路是必不可少的。万年历电路需要由计时电路提供计时脉冲,脉冲输送给一个由模30、模12、模100级联而成计时电路。整个过程不仅涉及到的分频计数器较多,还要用到大量的开关控制显得相当复杂且容易出错。而方案二是采用最近几年比较普遍的STC89C52RC单片机芯片实现。基于STC公司的ST89C52单片机,使用Dallas的一线制数字温度计DS18B20作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据字符型液晶显示器LCD1602上显示。之所以选择这个芯片,是因为STC 单片机降低成本,提升性能,原有程序直接使用,硬件无需改动。并且其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,一般的利用STC系列的单片机芯片加上一些外围的供电电路,复位电路,下载电路,特定功能电路等就能实现特定的功能。由于这些特点使得 STC 系列单片机的应用日趋广泛。

2.4 方案选择

在现代SOC技术的引领下,人们对低故障、高实时、高可靠、高稳定的性能更加青睐,其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,结合本设计的要求及综合以上比较的情况,我们选择用STC89C52RC单片机芯片来实现本次设计。

3单元模块设计

3.1各单元模块功能介绍及电路设计

3.1.1 温度采集电路

DS18B20是美国Dallas半导体公司生产的一线制数字温度传感器。测量范围为- 50 ℃~ + 125 ℃,精度可达0. 1 ℃,不需A /D 转换电路,直接将温度值转换成数字量。温度数据的传输,只需要一根数据线,直接将数据线与单片机的P3.6口相连接。其图如下3.1所示:

图3.1温度采集

3.1.2 DS1302时钟电路

DS1302是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片,它可以对年、月、日、周日、时、分、秒进行计时。DS1302用于数据记录,特别是对某些具有特殊意义的数据点的记录上,能实现数据与出现该数据的时间同时记录。DS1302提供2个电源引脚:一个(VCC2)接主电源;另一个(VCC1)接备用电源。

图3.2 DS1302时钟电路

3.1.3 串行通信接口电路

MAX232是电压转换芯片,将TTL电平转换成可以和电脑串口匹配的电压。DB9通过下载线缆与电脑连接,可以将程序下载到单片机上。

图3.3 RS232串行通信

3.1.4 USB连接电路

USB接口通用性好、实时性强、传输方式多样、成本低、支持即插即用、易于扩展且便于使用。本次设计的USB连接线路,为单片机提供5V电压。

图3.4 USB连接线路

3.1.5 按键电路

本次设计中用到了4*4的矩阵键盘列阵,通过赋低电平选中其中一列,以便来控制时,分,秒,年,月,日,以及闹钟的调整。其电路如图所示:

图3.5 按键控制电路

3.1.6液晶显示显示电路

本设计中会将时分秒,年月日周以及闹钟的设置,温度全部都会显示到液晶LCD1602上面,其具有16×2能够显示所有英文大小写字母,0到9十个数字以及一些常用的符号。该液晶在4.5V到5.5V电压范围内都能正确工作,平均工作电流为2mA。液晶HS162共16个管脚。但是由于原理图中电路很简单并且只用到接插件所以此处没有给出原理图。

3.2 特殊器件介绍

3.2.1 STC89C52单片机芯片

STC89C52是STC公司生产的低电压,高性能CMOS8位单片机,片内含4kbytes的可反复擦写的只读程序存储器(PEROM)和128bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器(CPU)和Flash存储单元,可灵活应用于各种控制领域。其主要功能有:与MCS-51产品指令系统完全兼容;4k字节可重擦写Flash闪速存储器;1000次擦写周期;全静态操作:0Hz-24MHz;三级加密程序存储器;128×8字节内部RAM;32个可编程I/O口线;2个16位定时/计数器;6个中断源;可编程串行UART通道;低功耗空闲和掉电模式。其引脚图如下图所示:

图3.6 STC89C52引脚图

3.2.2 DS1302介绍

DS1302是美国DALLAS公司推出的一种高性能、低功耗的实时时钟芯片,附加31字节静态RAM,采用SPI三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号和RAM数据。实时时钟可提供秒、分、时、日、星期、月、年,一个月小于31天时可自动进行调整,且具有闰年补偿功能。工作电压宽达2.5~5.5V。采用双电源供电(主电源和备用电源),可设置备用电源充电方式,提供了对后背电源进行涓细电流充电的能力,因此广泛应用于测量系统中。DS1302是由DS1202改进而来的,增加了以下的特性:双电源管脚用于主电源和备份电源供应,Vcc1为课编程涓流充电电源,附加七个字节存储器。它广泛应用于、、便携式仪器以及电池供电的仪器仪表等产品领域。其外部引脚分配如图所示:

图3.7 DS1302的外部时钟引脚分配

3.2.3 温度传感器DS18B20

采用独特的一线接口,可用数据总线供电,电压范围为3.0 V至5.5 V 无需备用电源。测量温度范围为-55℃至+125℃。华氏相当于是-67°F到257华氏度 -10 °C至+85 °C范围内精度为±0.5℃。温度传感器可编程的分辨率为9~12位温度转换为12位数字格式最大值为750毫秒用户可定义的非易失性温度报警设置应用范围包括恒温控制,工业系统,消费电子产品温度计,或任何热敏感系统。DS18B20内部结构主要由四部分组成:64位光刻ROM、温度传感器、非挥发的温度报警触发器TH和TL、配置寄存器。该装置信号线高的时候,内部电容器储存能量通由1线通信线路给片子供电,而且在低电平期间为片子供电直至下一个高电平的到来重新充电。

图3.8 DS18B20的管脚

3.2.4 液晶显示LCD1602

HS1602是目前最常用的字符液晶之一。具有16×2能够显示所有英文大小写字母,0到9十个数字以及一些常用的符号。该液晶在4.5V到5.5V电压范围内都能正确工作,平均工作电流为2mA。液晶HS162共16个管脚.RS为寄存器选择信号,RW为读写选择信号,通过这两种信号的不同组合可对液晶进行读写命令和读写数据的操作。1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个HS162是目前最常用的字符液晶之一。具有16ⅹ2能够显示所有英文大小写字母,0不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。在操作液晶时,先要对液晶进行初始化,即进行最初是的命令设置。要设置液晶的工作方式设置,显示状态设置,输入方式设置等。最后再向液晶写入数据,即写入想要显示字符的ASCII码。

4软件设计

4.1 软件选择

本次设计选择的软件主要是Keil uVision3。 Keil公司成立于1986年,主要开发、制造和销售嵌入式8051、251、ARM、XC16x/C16x/ST10等微控制器软件开发工具,提供ANSI C编译器、宏汇编程序、实时管理、调试和模拟器、综合评估板等。Keil公司的uVision把编辑、编译、链接和仿真等模式打包成集成开发环境,当然其集成的C51编译器肯定跟Franklin公司的相关模块肯定有交叉性,uVision还包含项目管理和调试器等非常有用的功能。

而软件仿真部分就用的是仿真软件PROTUES。PROTUES软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐,是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。在PROTUES 绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在PROTUES的原理图中看到模拟的实物运行状态和过程。

PROTUES 是单片机课堂教学的先进助手。 PROTUES不仅可将许多单片机实例功能形象化,也可将许多单片机实例运行过程形象化。前者可在相当程度上得到实物演示实验的效果,后者则是实物演示实验难以达到的效果。它的元器件、连接线路等却和传统的单片机实验硬件高度对应。这在相当程度上替代了传统的单片机实验教学的功能,例:元器件选择、电路连接、电路检测、电路修改、软件调试、运行结果等。

4.2软件设计流程

本次设计的系统软件设计主要包括主程序设计和温度采集子模块程序设计、日历日期数据子模块程序、按键控制子模块程序和LCD液晶显示子模块程序设计等。主程序主要完成器件的初始化,并判断有无按键按下,并根据判断的结果调用相应的子模块程序;而温度采集子模块程序和日历日期数据子模块程序完成相应的数据采集、处理和保存,按键处理子模块程序完成日期和闹钟的设置,而液晶显示子模块只要把上述子模块储存的数据送去显示即可。系统总的流程图如下图所示:

图4.1 主程序流程

4.2.1 温度采集流程

DS18B20在进行温度采集时,必须首先进行初始化,然后发ROM操作指令,再发存储器操作指令,最后才能传输数据。每次对器件进行读写操作时, 必须严格按照DS18B20 的时序要求。因为温度数据在DS18B20中是以2的补码形式存放的,且低4位为小数部分, 4位到10位为整数部分,其余为符号位,因此在读出2个字节的温度数据后,首先求一次补码得到原码,再将数据分离为整数温度值和小数温度值,整数部分的值可通过数据交换指令得到,而小数温度值部分可通过查表得到。因为在液晶显示器上显示的是字符的ASCII码,因而还要转换为BCD,在加30H转换为ASCⅡ码。其流程图如下所示:

图4.3 温度采集流程4.2.2 日期数据处理流程

对时钟芯片的操作主要包括2个方面:一是将芯片中的日期等数据读出来

,二是在进

行日期等设置时将设置的数据写入芯片,这也是按键处理时的主要内容。无论是读数据还是写数据,都要满足DS1302 对时序的要求。而对芯片各个数据部分的访问是通过地址进行的,且读和写的地址不一样。读出的数据同样要转为ASC II码,然后储存起来,等待送去显示。其流程图如下图所示:

图4.4 日期数据处理

5系统的仿真及调试

5.1 系统仿真

软件的仿真部分采用的是仿真软件PROTUES,前面已经作过介绍。整个设计的仿真效果如下图所示。

此时显示的时间日期是实时的时间。显示的温度是DS18B20上面所示的温度。按键第三列为设计中所涉及到的按键。我们只用到这个矩阵键盘中的4个按键。第一个按键按下时可调节液晶所在的第二行所示的时间。按1,2,3,下,光标分别到秒,分,时处闪动。第二个按键是控制液晶显示的第一行的日期显示。也是一次按下1,2,3,4可分别设置年月日以及周。最上面的LED是用于温度超过所设置的温度门限值报警,此时LED亮是代表温度超过了下限温度值。

图5.1 系统仿真图

5.2 硬件调试

单片机系统调试之前首先应该确认电源电压是否正常。用万用表测量接地引脚跟电源引脚之间的电压,看是否是电源电压,常用的5V。接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否正确。然后再检查晶振

是否起振了,一般用示波器来看晶振引脚的波形。另一个办法是测量复位状态下的IO 口电平,按住复位键不放,然后测量IO口(没接外部上拉的P0口除外)的电压,看是否是高电平,如果不是高电平,则多半是因为晶振没有起振。经过上面几点的检查,一般即可排除故障了。

显示电路的调试:在这里主要是HS1602液晶显示器。检查它与单片机的连线:设计是RS接的P07口,要保证它为高电平。EN接口接单片机的P05端,也要保证它为高电平;读写信号低电平有效;编写一段显示程序,烧录到单片机看是否能正常显示。

DS1302电路调试:该电路包含DS1302芯片,主电源、备用电源、晶振等部分。在与单片机连接的过程中需要注意以下几点:1.清楚DS1302与单片机连接的管脚,本设计定义为:DS1302的SCLK连接P1.0,I/O口连接P1.1,RST连接P1.2;2.注意电源正负极的连接;3. DS1302接32.768KHZ的晶振,该晶振体型比较小,在焊接时要小心,同时也要尽量使晶振离DS1302是X1、X2引脚近距离焊接。4.编写DS1302的时钟程序看是否能够正确显示时间。

按键电路调试:按键电路比较简单,故调试起来也很容易。如果确保按键焊接正确,只需把DS1302的程序写进单片机,再按下P3.0,如果在秒的位置有光标出现,则说明P3.0可用,如果按下P3.1,在日的位置有光标闪烁,则说明P3.1的按键可用,同时按下P3.2,如果日的位置有加的操作,则P3.2按键可用如果按P3.3有减的操作则也可用。

温度传感器的调试:温度传感器的调试只需在确保电路焊接正确的情况下,把显示温度的程序写进单片机,然后看液晶显示器上显示的温度是否是当前的室温,如果能够正确显示当前室温,那么说明电路一切完好。

我们在硬件调试的过程中遇到很多问题。

首先是按键扫描加入程序的时候按键会光标乱飞。针对这个问题我们在按键程序中加入while语句以及标志位控制,解决了这个问题。然后就是按键只能加一减一,后来在一步一步屏蔽一比一部调试过后发现了问题,原来是DS1302这个头文件周写数据部分写错了。还遇到一个问题,就是18B20温度传感器加上以后,只会出现一个初始值,检查后发现写温度的头文件中出现一点小错误。然后整个板子就在我们不停地出现错误然后慢慢调试改正中实现了我们初步的功能。

5.3 软件调试

软件调试一般是在仿真图上进行,这样比较方便,可以及时发现错误,分析错误,以便快捷的在程序上进行修改,再进行仿真,直到结果正确了,才把程序下到开发板上去看是否符合我们的要求,有时虽然仿真完全正确了,但到开发板上就不一定了,有时是因为仿真图和开发板的端口不对,所以需要检查后修改端口,有时是因为开发板上需要连线的端口连错了,也造成不能正确显示,这些虽然看似小问题,但检错起来一点都

不容易,需要反复的分析,不断的试验。就这样不断的试验,再修改,在试验,直到程序完全正确,可以显示出我们想要的答案为止。

本次设计在刚开始调试的时候,会发现液晶显示器上显示的位置不对,或者干脆不显示,通过找原因发现是从DS1302上读出的字符在液晶显示器上的对应位置写错了,造成不能正常显示,相应的改过之后就可以了;有时显示正确了,但按键的加减没作用,不能进行正常的加或减,这时又得修改程序中相关的部分,有时是因为C语言掌握得不牢固,造成写程序的语法错误,这种错误很难找,逻辑上看似很简单的几句程序也要反复的找很久。软件调试一般是在仿真图上进行,这样比较方便,可以及时发现错误,分析错误,以便快捷的在程序上进行修改,再进行仿真,直到结果正确了,才把程序下到开发板上去看是否符合我们的要求,有时虽然仿真完全正确了,但到开发板上就不一定了,有时是因为仿真图和开发板的端口不对,所以需要检查后修改端口,有时是因为开发板上需要连线的端口连错了,也造成不能正确显示,这些虽然看似小问题,但检错起来一点都不容易,需要反复的分析,不断的试验。就这样不断的试验,再修改,在试验,直到程序完全正确,可以显示出我们想要的答案为止。

我们需要对每一个模块进行调试,在这里包括:显示年、月、日,时、分、秒,星期,温度和闹钟的模块。先分别调试,直到所有分模块都调试出来了,再进行统调,这样更容易检错,可以及时查找出错误,减小调试的难度。

6结论

这次的多功能电子钟的显示通过不断的修改和调试,最终达到了我们想要的效果。它能够正常显示时间、日期、星期和温度,还具有闹铃的功能,但是如果能够让它用语音发声就更好了,这样就使整个系统更方便,明了。

我们此次的智能化电子系统涉及是通过HS1602液晶显示器显示的,第一行显示日期和星期,第二行显示时间和温度,通过DS1302控制时间的计数,断电后不需重新设置。通过DS18B20控制温度的采集工作,可以把当前温度送往液晶显示器显示;通过按键对时间、日期进行加减操作,以及可以对闹钟进行设置。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期;系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以对当前的时间进行调整。

本设计在功能上基本上完成了本次智能化电子系统设计的要求,但是也尚存在一些问题。由于时间仓促和我们自身水平有限,对于温度报警的门限值我们没有完成按键对其的控制和调整,很遗憾。并且对于电路的可靠性,稳定性等参数还未做过详细的测试。还可以通过修改程序,完成字符或数字的滚动显示。这些事我们组尚未完成的附加功能。

7总结与体会

7.1 设计小结

在本次设计中,我们最终完成本次智能化电子系统设计系的基本要求及功能,并在此基础了对系统功能作了扩展,增加了闹钟设置以及温度报警部分。在设计开始前我们对各个模块进行了详细的分析和设计准备工作,设计过程中,并且在胡兵老师的指导下,在实验室几位同学耐心的帮助下,我们组的成员相互协调,积极参与完成各个技术实现的难点,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和具体的使用方法。

7.2 设计收获及改进

通过本次设计,我们对单片机有了更深刻的认识,也从实践的例子中去感受到了单片机设计给我们设计带来的改变与进步。我们不仅掌握Keil uVision3软件的使用,与此同时,我们还对电子设计的思路有了更多的认识。这次对多功能数字钟的设计与制作,让我了解设计电路的程序,也了解了关于多功能数字钟的原理与设计理念。在此次的多功能数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和具体的使用方法。总之是受益匪浅。这为自己今后进一步深化学习,积累了一定宝贵经验,撰写设计的过程也是专业知识的学习过程,它使我运用已有的专业知识,对其进行设计,分析和解决问题,把知识转化为能力的训练。

本设计在功能上基本上完成了本次智能化电子系统设计的要求。将年月日周以及时间在液晶上显示,对温度的采集以及对闹钟的设置,按键对显示的控制等功能。但由于时间仓促和我们自身水平有限,对于温度报警的门限值我们没有完成按键对其的控制和调整,很遗憾。并且对于电路的可靠性,稳定性等参数还未做过详细的测试。还可以通过修改程序,完成字符或数字的滚动显示。

7.3 致谢

此次智能化电子设计中,我要特别感谢我的指导老师胡兵教授的热情关怀和悉心指导。在完成这次的设计过程中,胡兵教授倾注了大量的心血和汗水,无论是在从一开始的学习上还是后来的选题、构思和资料的收集方面,我都得到了胡兵教授悉心细致的教诲和无私的帮助,特别是他广博的学识、深厚的学术素养、严谨的治学精神和一丝不苟的工作作风使我终身受益,在此表示真诚地感谢和深深的谢意。

在整个课程设计中,也得到了许多实验室同学的支持和帮助,他们在自己时间很宝贵的情况下,给我们讲解模块电路的知识以及编程方法,并且耐心为我们解答设计过程遇到的所有问题。给予了我们许多宝贵的意见,在此一并致以诚挚的谢意。

8参考文献

[1] 张洪润.兰清华.单片机应用技术教程[M].北京:清华大学出版社,1997.11

[2] 李华.MCS-51系列单片机实用接口技术[M].北京:北京航空航天大学出版社,1993.8

[3] 万胜前.基于KeilC51软件的电子钟设计与制作[J].鄂州大学学报,2007年第2期

[4] 蒋梅.单片机万年历设计[J],职业大学报.2000年第2期

[5] 彭小军.用单片机实现电子钟[J].新余高专学报,2004年4月第9卷第2期

[6] 何力民.单片机应用技术选编5[M].北京:北京航空航天大学出版社1997.10

[7] 潘永雄.新编单片机原理与应用[M].西安:西安电子科技大学出版社,2003.2

[8] 付家才.单片机控制工程实践技术[M].北京:化学工业出版社,2004.3

[9] 刘军等.单片机原理与接口技术[M].上海:华东理工大学出版社,2006.2

[10] 何书森等.用电子线路设计速成[M].胡州:互见科学技术出版社,2005.10

[11] 李晓静等.液晶显示控制器与单片机的接口及编程[J].电子技术,2004年第6期

[12] 张迎新.单片机原理、应用及接口技术(第二版)[M].北京:国防工业出版社,2005.9

附录:

设计原理图:

数字电子钟电子线路综合设计方案

数字电子钟电子线路综 合设计方案 1 系统概述 1.1 设计任务和目的 此次设计为一种多功能数字钟,该数字钟具有的基本功能包括能准确计时,以数字形式显示时、分、秒、可实现手动或自动的对时、分进行校正以及具有整点报时功能。通过对本次设计制作的实践,我们可以更好的掌握课本内的理论知识,以理论结合实际,应用知识解决日常生活的问题。 1.2 系统设计思路与总体方案 一个简单的数字钟由秒信号发生电路,时、分、秒计数电路,译码显示电路组成,要求有校正时、分和整点报时功能,故要加入校时电路和报时电路。因此其原理可由如下的框图表示出来。 显示电路 时计数器分 计 数 器 秒 计 数 器 校时电路报时电路振 荡 电 路 分 频 电 路

图1 数字钟总体结构图 1.3 设计方案选择 对于数字钟电路的设计方案将有不同的几种设计可以实现,其不同的方案有着不同的元器件,主要设计方案如下: 方案一:采用逻辑电路设计实现 时、分、秒计时功能和整点报时功能,以及校时功能都能通过芯片实现,电路通过计数时钟脉冲具有自动更新秒的显示,纯属硬件设计无需程序干预。 方案二:利用单片机编程实现 通过利用单片机内部定时计数器实现计时,软件设置I/O作为数码管或液晶显示信号输出,时间校准按键输入。软件实现的电子钟具有编程灵活,并便于功能的扩展。 综合比较上述各方案,考虑实验室所能提供的元器件级设备,以现在的知识水平,决定采用方案一设计逻辑电路作为最终选择方案。 1.4 总体工作过程 1.4.1 时间的前进和显示的实现 首先由秒信号产生电路生产秒信号,将此信号接到秒计数器的信号输入端。接着,在这个秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示出来,这样就实现时间的前进和显示功能。 1.4.2 整点报时的实现 在时、分计数器的输出端接收整点的信号,驱动蜂鸣器的频率信号,在将此信号通过功率放大电路进行放大,从而使蜂鸣器工作。 1.4.3 校正时、分的实现 在秒向分进位的路径中加入一条用手动产生信号的路径,并通过数据选择器来选择接通两条中的手动信号,从而实现对分的校正。同理,对时的校正的方法与此相同。1.5 各功能块的划分和组成 1.5.1 秒信号产生电路 方案一:采用555定时器组成的振荡器,产生1kHz后做千分频输出1Hz时钟。 由于555是利用电容的充放电产生矩形波时间误差较大,需通过产生高频繁波形从而减少误差,但这样就必须另外加分步电路做分频,这样精度不是很高且增加了制作的

多功能数字钟设计论文

摘要 数字电子钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。本设计实验以中规模数字集成电路为主,介绍一种数字电子钟的设计方法。用555定时器组成的多谐振荡器、计数器、译码器和校时电路组成。采用了74LS系列中小规模集成芯片。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。本次课程设计还采用了层次模块的设计理念,使整体电路简单化,实现了电路的实效意义。 通过本次设计实验与制作:进一步加强数字电路综合运用能力,掌握数字电路的设计技巧,增进实践能力;熟悉数字电子钟的工作原理;了解并掌握数字电子钟系统设计、组装、调试及故障排除方法。 关键词:振荡器;计数器;译码器;分频器;校时电路

目录 1 绪论 (1) 1.1设计目的及意义 (1) 1.2 Multisim概述 (1) 1.3 Multisim 10操作步骤 (1) 2 多功能数字钟设计方案的确定 (2) 2.1设计方案原理构思 (2) 2.1.1设计主要原理 (2) 2.1.2设计电路原理框图 (3) 3 数字钟基本原理与方案设计 (3) 3.1 各模块电路分析 (3) 3.1.1 1Hz标准脉冲发生器 (3) 3.1.2 译码显示电路 (5) 3.1.3 计数器电路 (8) 3.1.4 校时电路 (11) 3.1.5 闹钟电路 (13) 3.1.6 整点报时电路 (15) 4 仿真调试与结果分析 (16) 4.1 总体仿真图 (16) 4.2 各个功能仿真调试 (16) 4.2.1 校时电路仿真调试 (16) 4.2.2 闹钟电路仿真调试 (17) 4.2.3 整点报时电路仿真调试 (18) 4.3 分析总结 (19) 4.4遇到问题及解决方法 (19) 5 心得体会 (20) 参考文献 (21) 附录 (22) 附录一元器件清单 (22) 附录二电路总图 (23) 附录三电路简化图 (24)

多功能数字钟电路设计

多功能数字钟电路设计 1设计内容简介 数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。 2设计任务与要求 Ⅰ以十进制数字形式显示时、分、秒的时间。 Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。 Ⅲ能实现手动快速校时、校分; Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。 Ⅴ具有定制控制(定小时)的闹钟功能。 Ⅵ画出完整的电路原理图 3主要集成电路器件 计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等 4设计方案 数字电子钟的原理方框图如图(1)所示。该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。校时电路是用“时”、“分”、“秒”显示数

多功能数字钟课程设计

多功能数字钟设计 摘要 多功能数字钟是采用数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站,码头,办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远超过老式钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及其广大应用,有着非常现实的意义。 数字电子钟由信号发生器“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555或晶振电路构成的振荡器加分频起来实现。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数,可以实现一天24h的累积计。 译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。校时电路是来对“时、分、秒”显示数字进行校对,调整。 关键词多功能数字钟计数器译码器显示器校时电路

沈阳工程学院课程设计(论文) 目录 摘要................................................................................................................................................................. I 1 绪论. (1) 1.1 项目研究的背景及意义 (1) 1.2 多功能数字钟的现状和发展趋势 (1) 2 设计总体方案 (2) 2.1 简要说明 (2) 2.2 任务要求 (2) 2.3 基本原理 (2) 3 单元电路 (3) 3.1 振荡器的设计 (3) 3.2分频器的设计 (4) 3.3计数器的设计 (4) 3.3.1六十进制加法计数器 (5) 3.3.2二十四进制加法计数器 (5) 3.4 译码器和显示电路的设计 (6) 3.5 校时电路的设计 (6) 4单元电路设计 (7) 4.1时间脉冲产生电路的设计 (7) 4.2计数电路的设计 (7) 4.2.1 60进制计数器的设计 (7) 4.2.2 24进制计数器的设计 (8) 4.3 译码及驱动显示电路 (9) 4.4 校时电路的设计 (9) 4.5 报时电路 (10) 4.6电路总图 (11) 5仿真结果及分析 (12) 5.1时钟结果仿真 (12) 5.2星期电路结果仿真 (12) 5.3手电电路 (13) 5.4测试结果分析 (13) 总结分析 (14) 致谢 (15) 参考文献 (16) 附录1 (17) 附录2 (18)

数电课程设计数字电子时钟

数字逻辑课程设计说明书 题目:多功能数字钟 专业:计算机科学与技术 班级: 姓名: 学号: 1525111023 完成日期:2015-9 一、设计题目与要求

设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图 ⑴多谐振荡器电路

多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示对应的数值。

多功能数字钟的设计

数字钟设计 一、工作原理 设计一种简易数字钟,该数字钟具有基本功能,包括准确计时,以数字形式显示时、分,以二极管显示秒的时间和校时功能。实现以下功能: (1)时的计时要求为24进制,分和秒的计时要求为60进制。 (2)准确计时,以数字形式显示时,分时间,用两个二极管显秒的时间。 (3)校正时间。 二、电路分析 1. 设计原理及思路 数字钟实际上是一个对标准频率进行计数的计数电路。它的计时周期是24小时,由于计数器的起始时间不可能与标准时间一致所以采用校准功能和报时功能。 数字钟电路主要由译码显示器、校准电路、报时电路、时计数、分计数、秒计数器,振荡电路和单次脉冲产生电路组成。其中电路系统由秒信号发生器、“时”、“分”、“秒”计数器、译码器及显示器、校准电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。时计数器采用24进制计时器,可实现对一天24小时的计时。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED 七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一蜂鸣器产生声音。校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。 根据设计要求首先建立了一个简易数字钟电路系统的组成框图,框图如下图所示。

单片机课程设计多功能数字时钟

摘要 本设计是以一片40引脚的单片机AT89C51为主体,结合16位定时器/计数器、I/O口扩展和LCD数码管共同设计完成电子数字钟(与MCS-51兼容)。给出具体电路图对其做出详细的分析和说明。论文主要介绍了Protel软件的仿真功能。并以数字电子钟设计为例,阐述如何应用该软件进行数字电路的设计与仿真。 Proteus是深受广大电路设计者喜爱而成为家喻户晓的电路设计工具。它不但使绘制原理图、仿真等功能更加完备,而且它为用户提供功能强大、使用方便的电路仿真器。它可以对当前所画的电路原理图进行即时仿真,因此在电路的整个设计周期都可以仿真查看和分析电路的性能指标,以便及时发现设计中所存在的问题并加以改正,从而更好的完成设计。 关键词:AT89C51芯片LCD数码管闹铃设计与仿真

目录 摘要........................................................................................................................... I 第1章多功能数字时钟的功能 (1) 1. 1 设计背景及目的 (1) 1. 2 数字电子钟简介 (1) 第2章系统硬件设计 (2) 2.1 AT89C51结构与工作原理 (3) 2.2 晶振电路 (4) 2.3 复位电路 (5) 2.4 按键及响铃功能 (5) 2.5LCD显示功能 (6) 第3章系统软件设计 (7) 3.1 读写程序流程图 (7) 3.2 编译软件介绍 (7) 第4章仿真及调试 (9) 4.1 编译软件介绍 (9) 4.2 仿真过程 (9) 4.3 调试方法 (10) 结论 (12) 参考文献 (13) 附录 (14) 致谢 (20)

毕业论文--数字电路课程设计报告--基于1602液晶屏的数字万年历Verilog版

毕业论文--数字电路课程设计报告--基于1602液晶屏 的数字万年历Verilog版 基于1602液晶屏的数字万年历(Verilog版) 课程名称:数字电路课程设计 专业:集成电路设计与集成系统 基于1602液晶屏的数字万年历(Verilog版) 一.设计要求 1.基本功能 设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。 在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。 能够调整小时和分钟的时间,调整的形式为通过按键进行累加。 具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。 2.扩展功能 设计模式选择计数器,通过计数器来控制各个功能之间转换。 调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。 用LCD液晶屏来显示当前时间及功能模式。

二.设计分析及系统方案设计 1.要求分析: 基于FPGA实际并发处理的特点,对于实现数字万历年系统,相比于任何嵌入式处理器而言,其特点和优势将得以更加全面体现。 数字万年历中所有模块都将基于基准时钟源进行处理,结合FPGA本身的特点,在时钟源下可进行精确计数,可轻易而产生十分精确的万年历时间。 基础部分:万年历可包括以下时间处理模块:基于秒时钟计数器进行判断处理。 ①秒,分,时。 ②星期,上/下午。 ③日,月,年。 ④闹钟 功能部分: ①时间设定:使用四个按键进行控制,分别是:设置复位按键,设置移位键,功能“加”键,功能“减”键。 ②整点报时部分:使用7个绿色LED作为提示灯。 ③闹钟提示部分:使用16个红色LED作为闹钟报时提示。 显示部分:使用LCD1602液晶显示屏作为万年历的主显示屏,闹钟显示部分使用6个7段数码管。 2.方案设计 基于FPGA的特点以及本万年历系统自身功能特点的实现方式。系统采用模块化方案进行设计。各个模块及其相关实现功能描述,同时具体的代码中模块设

多功能数字钟的设计及制作 论文

题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 题目: 多功能数字钟的设计及制作 初始条件: (1)准确计时,显示时分秒 (2)小时12翻1,分秒60进1 选做: 设计可校正时间的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月27日~6月30日:明确课题,收集资料,方案确定,仿真 7月1日~7月4日:硬件电路制作与调试 7月5日~7月8日;报告撰写,交设计报告,答辩 指导教师签名:年月日 系主任(或责任教师)签名:年月

摘要 (1) 多功能数字钟的设计及制作 (2) 1数字钟的设计内容及要求 (2) 1.1数字钟的设计内容 (2) 1.2数字钟的设计要求 (2) 2电路设计方案及其论证 (2) 2.1数字钟系统总电路图 (2) 2.2数字钟的原理框图 (2) 2.3数字钟的基本原理 (2) 3单元电路 (4) 3.1计时电路 (4) 3.2脉冲信号源——多谐振荡电路 (6) 3.3显示电路 (7) 3.4校时电路 (8) 4硬件电路的设计及其制作与调试 (9) 4.1仿真使用的系统 (9) 4.2制作与调试的方法和技巧 (10) 4.3测试的数据和理论计算的比较分析 (10) 4.4制作与调试中出现的故障、原因及排除方法 (10) 5本设计的方案优势及与被排除的方案的对比 (11) 6系统需要的各类软件和硬件 (12) 结束语 (13) 参考文献 (14) 附录 (15)

多功能数字钟的设计及制作

多功能数字钟的设计及制作 1.设计分析 本次设计的数字钟具有校时功能。我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1 图1-1 总体方框图

2.设计内容 2.1秒脉冲产生部分 本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。555定时器逻辑符号如图2-1所示: 图2-1 555定时器逻辑符号

管脚功能如表2-1所示: 图2-2 秒脉冲电路 根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时

间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。 充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC) t1=(R1+R2)C*㏑2=0.7(R1+R2)C 放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC) t2=R2*C㏑2=0.7R2*C 脉冲周期为: t=t1+t2=0.7(R1+2R2)C 脉冲频率为: f=1/t=1.43/(R1+2R2)C 令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的) 代入数据,计算得,f=0.94HZ≈1HZ 基本满足实验要求。 2.2计数部分 计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。74LS具有异步清零和异步置九的功能。当R0全是高电平,R9至少有一个为低电平时,实现异步清零。当R0至少有一个低电平,R9全是高电平时,实现异步置九。当R0,R9都有低电平时,实现计数功能。所以74LS90有两个清零端R0(1)、R0(2),两个置数端R9(1)、R9(2)。其逻辑符号如图2-3和功能表如表2-2如下:

课程设计(论文)基于lcd液晶显示的多功能数字钟的设计(附pcb图及电路原理图)

目录 1前言 (1) 2总体方案设计 (2) 2.1设计内容 (2) 2.2设计内容 (2) 2.3方案论证 (3) 2.4方案选择 (4) 3单元模块设计 (5) 3.1各单元模块功能介绍及电路设计 (5) 3.1.1 温度采集电路 (5) 3.1.2 DS1302时钟电路 (5) 3.1.3 串行通信接口电路 (6) 3.1.4 USB连接电路 (6) 3.1.5 按键电路 (7) 3.1.6液晶显示显示电路 (7) 3.2特殊器件介绍 (7) 3.2.1 STC89C52单片机芯片 (7) 3.2.2 DS1302介绍 (8) 3.2.3 温度传感器DS18B20 (9) 3.2.4 液晶显示LCD1602 (9) 4软件设计 (10) 4.1软件选择 (10) 4.2软件设计流程 (10) 4.2.1 温度采集流程 (11) 4.2.2 日期数据处理流程 (12) 5系统的仿真及调试 (13) 5.1系统仿真 (13) 5.2硬件调试 (13) 5.3软件调试 (14) 6结论 (16) 7总结与体会 (17) 7.1设计小结 (17) 7.2设计收获及改进 (17) 7.3致谢 (17) 8参考文献 (18) 附录: (19)

1前言 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。单片机也被称为微控制器(Microcontroller),它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。单片机诞生于20世纪70年代末,经历了SCM、MCU、SOC三大阶段。 STC单片机完全兼容51单片机,并有其独到之处,其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,由于这些特点使得 STC 系列单片机的应用日趋广泛。本文设计了一种基于STC公司的STC89C52单片机,使用Dallas 的一线制数字温度计DS18B20作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据字符型液晶显示器LCD1602上显示,本次设计的多功能数字钟采用数字电路对日期和温度进行控制设置,我们采用LCD液晶显示,以24小时的计时方式,根据LCD显示原理进行显示,定时器计数。在本次设计中,电路具有显示日期、时间、温度的基本功能,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期;系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以对当前的时间进行调整。

数字电子钟的设计电路图pcb图

数字电子钟的设计与制作 一、设计概述 1.设计任务 ➢时钟脉冲电路设计 ➢60进制计数器设计 ➢24进制计数器设计 ➢“秒〞,“分〞,“小时〞脉冲逻辑电路设计 ➢“秒〞,“分〞,“小时〞显示电路设计 ➢“分〞,“小时〞校时电路 ➢整点报时电路 2.功能特性 ➢设计的数字钟能直接显示“时〞,“分〞,“秒〞,并以24小时为一计时周期。 ➢当电路发生走时误差时,要求电路具有校时功能。 ➢要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。 3.原理框图 图 1 原理框图 二、设计原理 数字钟是一个将“时〞,“分〞,“秒〞显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。因此,一个根本的数字钟电路主要由译码显示器、“时〞,“分〞,“秒〞计数器、校时电路、报时电路和振荡器组成。干电路系统由秒信号发生器、“时、分、秒〞计数器、译

码器及显示器、校时电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器〞,“秒计数器〞采用60进制计数器,每累计60秒发现胡一个“分脉冲〞信号,该信号将作为“分计数器〞的时钟脉冲。“分计数器〞也采用60进制计数器,每累计60分钟,发出一个“时脉冲〞信号,该信号将被送到“时计数器〞。“时计数器〞采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时〞、“分〞、“秒〞计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时〞、“分〞、“秒〞显示数字进展校对调整的。 三、设计步骤 1.计数器电路 根据计数周期分别组成两个60进制〔秒、分〕和一个24进制〔时〕的计数器。把它们适当连接就可以构成秒、分、时的计数,实现计时功能。CC4518的符号如图,一个芯片集成了两个完全一样的十进制计数器,其异步清零信号CR是高电平有效。记数脉冲输入CP和记数允许EN是或关系,即EN=1时,CP脉冲可以通过或门产生上升沿触发记数,反过来如将CP端接低电平〔CP=L〕,那么EN端可以参加计数脉冲,用其下降沿经反相通过或门产生上升沿触发计数,计数器输出8421BCD码。 ➢秒计数器的设计及安装 秒计数器为60进制计数器。实现此模数的计数器是由双BCD同步加计数器CD4518构成。秒计数器是六十进制,由一个十进制和一个六进制计数器异步级联组成,各记数器都接成下降沿触发方式,其中六进制计数器用异步复接法构成。两级计数器出现0110,0000时通过置数脉冲使计数器清零,也就是此时AQ2,AQ1通过与门后发出置数脉冲使计数器通过清零端AR清零〔如图2所示〕。 图 2 秒计数器 ➢分计数器的设计及安装

多功能数字钟电路设计指导书及仿真图

课题一数字电子钟逻辑电路设计 一、简述 数字电了钟是•种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示宜观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电f•手农,大到车站、码头、机场等公共场所的大型数显电了钟。 数字电了钟的电路组成方框图如图所示。 显示器显示器显示器显示器译码器译码器译码器译码器7进制周24进制时60进制分60进制秒计数器 计数器计数器计数日时分秒1H分频晶体振荡单次或连续脉冲图敌字电子钟框图由图可见,数字电了 钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器:校时电路: 六十进制秒、分计数 器,二十四进制(或十二进制)计时计数器:秒、分、时的译码显示部分等。 二、设计任务和要求 用中、小规模集成电路设计•台能显示日、时、分、秒的数字电了•钟,要求如下: 1.由晶振电路产生1Hz标准秒信号。 2.秒、分为00、59六十进制计数器。 3.时为00〜23二十四进制计数器。 4.周显示从1〜日为七进制计数器。 可手动校时:能分别进行秒、分、时、日的校时。只要将开关置于手动位置,可分别对5・秒、分、 时、日进行手动脉冲输入调整或连续脉冲输入的校正。 6.整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz),整点时再呜叫•次高音(1000Hz)o 三.可选用器材 1.通用实验底板

2.直流稳压电源 3.集成电路:CD4060、74LS7 4. 74LS161. 74LS248 及门电路 4.晶振:32768 Hz 5•电容:100 U F/16V> 22pF、3〜22pF 之间 6•电阻:200 Q x 10KQ、22MQ 7.电位器:Q或Q 8.数显:共阴显示器LC5011-11 9.开关:单次按键 10.三极管:8050 喇叭:1W/4, 8Q 四、设计方案提示 根据设计任务和耍求,对照数字电/钟的框图,可以分以下几部分进行模块化设计。 1.秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的持度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1HZ的秒脉冲。如晶振为32768 Hz,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示。 74LS7420pF 秒脉冲发生器图 2.计数译码显示 秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00〜59,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为00〜23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时淸零,就为二十四进制了。 周为七进制数,按人们•般的概念•周的显示日期“日、1、2、3、4、5、6",所以我们设计这个七进制计数器,应根据译码显示器的状态衣来进行,如农所示。 按农状态农不难设计出“日”计数器的电路(日用数字8代替)。 所有计数器的译码显示均采用BCD-七段译码器,显示器采用共阴或共阳的显示器。

电子时钟电路_原理图_PCB图

XXXXX学院 电 子 线 路 课 程 设 计 【带LCD显示的电子时钟】

班级:XX :XX 学号:XX 指导老师:XX XX年XX月XX 日 摘要 在当代繁忙的学习与生活中,数字钟已成为人们日常生活中必不可少的必需品,被广泛用于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。数字电路采用数字电路,实现对时、分、秒时钟显示的计时装置,具有走时准确、性能稳定、携带方便等优点它还用于计时、自动报时及自动控制等各个领域。 单片机具有体积小、功能强可靠性高、价格低廉等一系列优点基于单片机的定时器功能完成的数字钟电路的设计,结构简单,便于携带。也利于我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实

际,来培养我们的综合分析和设计电路、写程序、调试电路的能力。研究数字钟以及扩大其应用,具有非常现实的意义。 此设计中的数字钟不仅可以显示普通的年、月、日、时、分、秒外,还可加入蜂鸣器、按键复位等功能。 关键字:LCD1602 单片机电子时钟定时复位 一.任务要求 设计一个时钟电路。以单片机为核心模块,LCD1602为显示模块,通过控制使1602显示时间、字符。 1.1基本要求 1).第一行显示自己的名字 2).第二行显示时间 1.2发挥部分 1).加入按键,实现调时功能

2).加入蜂鸣器,实现闹钟功能 二.系统分析 2.1 系统总体方框图

2.2 系统总体分析 本设计由ST89C51单片机、复位电路、晶振电路、外部中断和显示电路5个模块组成。其中以单片机模块为核心模块,主导其余四个模块工作,1602显示模块用来显示秒、分、时计数单位中的值。利用AT89c51单片机部的定时/计数器进行中断定时,配合软件延时,实现电路的总体功能。 三、硬件设计 3.1、晶振电路

数字电子钟设计(原理图+pcb图+程序)--课程设计.docx

1设计课题任务、功能要求说明及方案介绍 1.1设计课题任务与要求 设计一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示学 生班级学号,进入时钟准备状态;第一次按电子钟启动/调整键,电子钟从0时 0分0秒开始运行,进入时钟运行状态;再次按电子钟启动/调整键,则电子钟 进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动/调整 键再次进入吋钟运行状态。 1.2设计课题总体方案介绍及工作原理说明 本电子钟主要由单片机、4个独立键盘、显示接口电路和复位电路构成,设 计课题的系统框图如图1. 1所示: 图1.1系统框图 本电子钟的所有的程序、参数均存放在AT89S52的Flash ROM 和内部RAM 中。键盘采用动 态扫描方式。利用单片机定时器及计数器产生定时效果通过编程 形成数字钟效果,再利用数码管动态扫描显示单片机内部处理的数据,同时通过 端口读入当前外部控制状态来改变程序的不同状态,实现不同功能。 晶振电路 复位电路 数码管驱动 数码管LED

2设计课题硬件系统的设计 2.1设计课题硬件系统各模块功能简要介绍 本设计的硬件系统主要采用以下基本模块来实现,单片机最小系统模块,输入模块、输出模块、电源模块 (1 )单片机最小系统模块:AT89S52单片机芯片;复位电路;晶振电路。本模块AT89S52系统控制核心,单片机系统复位由按键电平复位电路完成,通过按键S1来控制,单片机通过芯片引脚XTAL1、XTAL2,外并接石英晶体振荡器和两只电容。这样就为能为单片机提供频率为12MHz的晶振。 (2)输入模块:本模块共用到了5个按键,1个电源开关,1个复位键,单片机运行期间,利用按键S1完成复位操作。4个独立按键,S2键控制电子钟的启动/调整状态,S3键为小时调整键,S4键为分钟调整键,S5键位秒调整键,且 S2、S3、S4、S5 任一键都独自连一个I/O (Pl.O、P1. 1、P1. 2、P1. 3) 口线,说明它们可以独立实现相应的电子钟功能。 (3)输出模块:本次设计显示为8位,采用两个四位一体数码管(共阳极) 作为显示窗口,既可以节约成本又能简化电路。数码管用8个PNP三极管驱动。 (4)电源模块:直接由PC的USB接口提供。 2.2各功能模块详细介绍 2. 2. 1 AT89S52 介绍 (1)具有8KB可改写的Flash内部程序存储器,可写/擦1000次; (2)256字节内部RAM; (3)32根可编程I/O 口; (4)3个16位定时器/计数器。 (5)8个中断源; (6)低功耗空闲和掉电方式。 它的价格便宜,功能强大,能耗低。很大程度上减少总电路的复杂性,提高了所设计系统的稳定性。其芯片引脚图如图2-1所示。

LCD1602单片机数字钟设计(含附件)

基于单片机89C52的液晶数字钟设计 摘要设计采用定时计数器工作方式1进行数值上的累加,经过延时程序,lcd1602驱动程序和时间格式转换程序,实现了数字钟的正常运转以及显示。添加整点报时,用1个if 语句设置整点条件调用蜂鸣器程序实现功能;添加闹钟功能,通过当前时间与闹钟时间的对比促使蜂鸣器发声。 关键词定时计数器 LCD1602 闹钟单片机89C52 1.电路设计 1.1主体设计 1.1.1设计原理 端,使用P0端要外接上拉电阻,这里放置10k阻值的排阻。蜂鸣器需要三极管放大后方可使用。开关用四脚独立按键,接P1端。使用12MHz的晶振。 1.2各部分设计 1.2.1时钟设计 单片机的时钟有两种方式产生,分别是内部方式和外部方式。设计采用内部方式。产生时钟的是振荡电路。由一个12MHz的晶振和两个30pF的串联着的电容并联在一起,形成谐 振电路。晶振和电容大小涉及电路振荡频率的稳定性和大小,以及起振响应速度。

1.2.2复位设计 复位有两种,区别在于是否有开关。按一次开关,电位变化形成一次脉冲,使单片机复位。采用10k电阻和10uF的电解电容。 1.2.3按键设计 有三种模式,分别是工作模式,当前时间设置模式,闹铃时间设置模式。 (1)工作模式。就是正常数字钟功能,有整点报时功能。 (2)当前时间设置模式。1键进入该模式,之后,1键调时,2键调分,3键确认。 (3)闹铃时间设置模式。3键进入该模式,之后,1键调时,2键调分,3键确认,4键打开闹铃。工作模式2键可以查看闹铃时间。 1.2.4lcd1602设计 Lcd1602的DB端接单片机的P0端,2和15脚接5v,其他的接地。加10k排阻,程序正确,电路无差错,可正常使用。 1.2.5蜂鸣器设计 蜂鸣器通过三极管放大后使用,作用有闹铃和整点报时的发声。三极管采用pnp型规格。 1.3软件仿真Proteus 7.5 图1.3仿真图

基于LCD的电子时钟实验课程设计报告

河海大艸联网工程学院 课程设计报告 题目基于LCD的电子时钟实验 专业.学号电信. _________________ JSW号___________________

誨时间2013.07.05

课程设计(报告)任务书 (理工科类) I、课程设计(报告)题目: 基于LCD的电子时钟实验 II、课程设计(论文)工作内容 一、课程设计目标 1、培养综合运用知识和独立开展实践创新的能力; 2、培养学生的编程能力、用计算机解决实际问题的能力。 3、学习LCD与ARM的LCD的控制器的接I I原理; 4、掌握内置LCD控制器驱动编写方法; 5、R TC控制方法。 二、研究方法及手段应用 1、将任务分成若干模块,查阅相关论文资料,分模块调试和完成任务; 2、查阅网上的相关素材,查阅相关论文资料,进行比较、研究; 3、在独立思考的基础上,请教老师,和同组同学讨论、学习; 4、运用Proteus仿真环境、S3C44B0X实验箱实验平台进行实验调试: 5、学习并使用使用ADS1.2编译汇编语言和C语言,连接生成Hex文件和Axf 文件。 三、课程设计预期效果 1、完成实验环境搭建; 2、分模块调试和编译; 3、组合并完善程序。 4、联合仿真软件运行程序 5、显示时钟界面; 6、动态显示当前的时间,包括:年、月、日、时、分、秒,时针,分针、秒针必须为动态实时指示当前的时间; 学生姓名:刘晓婷专业年级:电信、10级

目录 前言 (1) 第一章系统设计 (2) 第一节课题目标及总体方案 (2) 第二节...................... 第二章实验(测试)结果及讨论 (5) 第三章结论 (10) 心得体会 (42) 参考文献 (43) 附录 (44) 一、源程序 (60)

【精品】基于LCD1602电子时钟毕业论文报告

信息与电子工程学院 课程设计报告 课程单片机技术应用 设计题目基于LCD1602电子时钟 专业 班级 姓名学号分工成绩成员 指导老师 答辩日期 目录

一、课程设计概述 (2) 1.1课程设计背景 (2) 1.2课程设计内容 (2) 1.3课程设计技术指标 (2) 二、方案的选择及确定 (2) 2.1单片机芯片的选择 (2) 2.2显示模块的选择 (3) 2.3实时时间计算模块的选择 (3) 2.4实时环境温度采集模块选择 (3) 2.5电路设计最终方案决定 (4) 三、系统硬件设计 (4) 3.1主控模块 (4) 3.2LCD显示模块设计 (5) 3.3时间计算模块设计 (5) 3.4实时环境温度检测模块 (6) 3.5报警模块 (6) 3.6设置模块 (7) 3.7电源接口部分 (7) 四、系统软件设计 (7) 4.1主函数 (7) 4.2设置模块 (8) 4.31602液晶屏 (9) 4.4软件原理图 (10) 五、系统调试过程 (10) 5.1软件调试 (10) 5.2硬件调试 (11) 六、结论 (11) 七、遇到的问题及解决方法和总结 (11) 7.1硬件方面 (11) 7.2软件方面 (12) 7.3总结 (12)

八、参考文献 (12) 九、附录 (13) 一、课程设计概述 1.1 课程设计背景 随着微电子技术的高速发展,单片机在国民经济的个人领域得到了广泛的运用。单片机以体积小、功能全、性价比高等诸多优点,在工业控制、家用电器、通信设备、信息处理、尖端武器等各种测控领域的应用中独占鳌头,单片机开发技术已成为电子信息、电气、通信、自动化、机电一体化等专业技术人员必须掌握的技术。 而电子万年历作为电子类小设计不仅是市场上的宠儿,也是是单片机实验中一个很常用的题目。因为它有很好的开放性和可发挥性,因此对作者的要求比较高,不仅考察了对单片机的掌握能力更加强调了对单片机扩展的应用。而且在操作的设计上要力求简洁,功能上尽量齐全,显示界面也要出色。 1.2 课程设计内容 利用单片机、时钟芯片DS1302、温度传感器DS18B20、1602液晶屏等实现日期、时间、温度的显示,即是一个电子时钟。具体的功能如下: (1)通过DS1302能够准确的计时,时间可调并在液晶屏上显示出来。 (2)通过DS18B20能够实时、准确的检测当前环境温度。 (3)利用程序控制单片机实现闹钟功能。 1.3 课程设计技术指标 (1)LCD液晶每行刷新显示。 (2)实时时钟可提供年、月、日、时、分和秒,每月的天数可以自动调整,且具有闰年补偿功能。 (3)时间是24小时制;年限2000年~2099年。 (4)测量温度范围为 0 ℃ ~+ 60 ℃,误差为± 0.5°C 。

八位数显示时钟的设计方案与制作

毕业设计<论文)题目:八位数显示时钟的设计与制作 2018年8月28日

毕业设计任务书 1.毕业设计题目:八位数显时钟 题目类型实验研究题目来源教师科研题 毕业设计内容要求: <一)设计任务: 1、用单片机设计8位数显电子时钟; 2、走时,误差精度控制在1s/天; 3、调时,小时、分钟加减调整及闪烁显示; 4、闹铃,可以设置三组闹铃,默认闹铃时间为1分钟,可按任意键推出闹铃。 <二)涉及要求: 1、总体方案设计及框图; 2、设计原理电路图及分析; 3、独立编写程序; 4、完成protues仿真设计; 5、使用protel设计pcb并制作、调试电路。 2.主要参考资料 [1]电子工业出版社[51单片机典型系统开发实例精讲]白延敏; [2]复旦大学出版社[单片微型机原理、应用和实验] 张友德; [3]海纳电子资讯网https://www.360docs.net/doc/c219051037.html,[IC中文资料];

摘要 时间是现代社会中不可缺少的一项参数,无论是平时生活还是社会生产都需要对时间进行控制,有的场合对其精确性还有很高的要求.采用单片机进行计时,对于社会生产有着十分重要的作用。 本文首先介绍了电子时钟的特点和功能,然后对单片机和LCD 显示做了详细的介绍,提出了系统总体设计方案,并设计了各部分硬件模块和软件流程,再用Protues软件进行了仿真和调试,结果证明了该设计系统的可行性。 由于AT89S52系列单片机的控制器运算能力强,处理速度快, 可以精确计时,很好地解决了实际生产生活中对计时高精确度的要求,因此该设计在现代社会中具有广泛的适用性。 关键字:单片机;LCD1602液晶显示器;C程序设计

相关文档
最新文档