智能脉搏计的系统设计

智能脉搏记录仪设计

摘要:脉搏测量仪在日常生活中已经得到广泛的应用。为了提高脉搏测量的简便性和精确度,本文介绍一款以AT89S52单片机为核心控制模块的智能脉博记录仪。该仪器通过红外发射管FBCB30与红外接收管TBBB30组成的光电传感器采集脉搏模拟信号,利用LM358芯片构成的放大整形电路将采集到的模拟信号处理成数字信号送给单片机处理,通过LCD1602液晶屏将单片机处理后得到每分钟的脉搏跳动次数显示出来。经过多次实验表明,该仪器较为精确的测量出人体一分钟内脉搏跳动次数,而且操作方便简洁。

关键词:AT89S52;脉搏测量;信号处理;计数

The Design of Intelligent Pulse Recorder

Abstract:Pulse measuring instrument in daily life has been widely used. In order to improve the simplicity and definition , this paper introduces a AT89S52 microcontroller as the core control module intelligent pulse recorder. The instrument FBCB30 and the infrared receiving tube photoelectric sensor acquisition pulse TBBB30 composed of analog signal through the infrared emission tube ,using the LM358 chip shaping circuit consisting of the collected analog signal into digital signal to the microcontroller processing, the microcontroller via LCD 1602 obtained after processing the pulse beats per minute is displayed. After several experiments show that the instrument is more accurately measure the human pulse beats a minute, and the operation is simple and convenient.

Key words:AT89S52 microcontroller; Pulse measuring; Signal Processing; Count

目录

前言 (1)

第1章系统总体设计 (3)

1.1 系统设计方案确定 (3)

1.2 系统模块设计方案论证 (4)

1.3 系统技术指标 (5)

第2章系统硬件设计 (6)

2.1 主控制模块 (6)

2.1.1 AT89S52芯片简介 (6)

2.1.2 单片机最小系统设计 (10)

2.2 脉搏感应模块 (12)

2.2.1 光电传感器简介 (12)

2.2.2 信号采集电路 (13)

2.3 信号处理模块 (15)

2.3.1 LM358芯片简介 (15)

2.3.2低通滤波放大电路设计 (16)

2.3.3 整形电路设计 (18)

2.4 脉搏跳动提示模块 (19)

2.5 LCD显示模块 (20)

2.5.1 LCD1602简介 (20)

2.5.2 LCD1602显示电路设计 (22)

2.6 测量结束提示模块 (23)

2.7 电源电路设计 (24)

第3章系统软件设计 (25)

3.1 主程序设计 (25)

3.2 外部中断子程序设计 (26)

3.3 定时中断服务子程序设计 (27)

3.4 LCD显示子程序设计 (28)

第4章系统调试 (29)

4.1 硬件调试 (29)

4.2 软件调试 (30)

4.3 误差分析 (30)

第5章总结 (32)

参考文献 (33)

致谢 (35)

附录一:电路原理图 (36)

附录二:PCB图 (37)

附录三:实物图 (38)

附录四:元器件清单 (39)

附录五:程序清单 (40)

前言

脉搏每分钟跳动次数和频率可以反映出人身体的健康状况,在我国中医“望、闻、问、切”四诊中,脉诊占据着重要的位置。脉诊作为我国传统医学中最具特色的一项“绿色无创”诊断的手段和方法,引起了国内外人士的广泛关注。虽然脉诊以简便、无创、无痛的特点为广大患者所接受,但是中医的医师靠手指获取脉搏信息的方法,在长期的医疗实践中存在一定的局限性[1]。首先,医生切脉时单凭手指感觉和经验来辨别脉象的特征,表述过程中难免存在许多主观臆断因素,不能规范地判断脉象,其次,用手指切脉的技巧难以掌握,感知的脉象难以记录和保存,对脉象机理的研究产生影响。脉诊的这种定性化和主观性,影响了脉搏测量的精度与可行性,很大程度上制约了中医脉诊的应用、发展和交流。为了提高诊脉的精确度和规范化,需要将诊脉与现代科学技术结合起来,使得脉诊结果更加准确,切脉的方式更加便捷。

随着科学技术的飞速发展,脉搏测量技术也逐渐成熟,对脉搏的测量精度要求也越来越高。国内外先后研制了各种类型的脉搏测量仪,其中脉搏测量的关键是脉搏传感器的研究。如今,脉搏传感器主要分为接触式脉搏传感器和非接触式脉搏传感器,利用接触式脉搏传感器所研制的脉搏测量仪各有其优缺点。指夹式脉测量仪比较方便、简单,但手指上的汗腺较多,常年使用可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护,但耳脉信号较弱,尤其是当季节变化时,所测信号受环境

温度影响明显,造成测量结果不准确。

人体心室周期性的收缩和舒张导致主动脉的收缩和舒张,血流压力以波的形式从主动脉根部开始沿着整个动脉系统传播,这种波称为脉搏波。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,很大程度上反映出人体心血管系统中许多生理病理的血流特征。因此,对脉搏波采集和处理具有很高的医学价值和应用前景。近年来国内外致力于开发无创非接触式的传感器,其中以光电式脉搏传感器的发展为主。光电式传感器是根据光电容积法制成的脉搏传感器,通过对手指末端透光度的监测,间接检测出脉搏信号。具有结构简单、无损伤、精度高、可重复使用等优点。通过光电式脉搏传感器所研制的脉搏测量仪已经应用到临床医学等各个方面并收到了理想效果。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号,因此,必须经过放大和后级滤波以满足采集的要求。

本课题设计是一个智能脉搏记录仪系统,利用人体血液循环对光的吸收与衰减呈周期性变化的原理来测量人体的脉搏,通过红外光电传感器采集人体脉搏信号,转换为模拟信号,经过滤波,放大整形电路处理成可供单片机使用的数字信号,单片机对信号计算并通过LCD液晶屏显示每分种脉搏跳动的次数。

第1章系统总体设计

1.1系统设计方案确定

智能脉搏记录仪系统的设计,通过采集人体脉搏跳动变化引起的一些生物信号,使之转化为可以被测量的物理信号,这些变化的物理信号能够反应人体脉搏的变化。通过后级滤波,放大及整形的方法对转化后的低频微弱物理信号进行处理,处理后的信号送入单片机,单片机将计算得出的每分钟脉搏跳动次数输出到液晶屏上显示。设计的实现,需要运用相应的硬件电路及芯片来处理变化的物理信号并存储脉搏次数。可以根据脉搏信号转化成电信号的思路开始本次设计,通过硬件电路设计和软件编程来实现智能脉搏记录仪的功能要求。

根据上面所述,本次智能脉搏记录仪的设计主要分为以下几个模块:单片机控制模块、脉搏感应模块、信号处理模块、测量结束提示模块、脉搏跳动提示模块、LCD显示模块、电源电路模块、晶振模块、复位电路模块。整体系统结构如图1-1所示。

图1-1系统框图

图1-1中,系统各模块功能如下:

脉搏感应模块:采用红外发射接收对管对人体手指之间的脉搏信号进行检测与采集,将非电量的脉搏信号转化成电信号。

信号处理模块:转化后的模拟信号经过低通滤波、放大电路和整形电路的处理,使之转变成能够供单片机使用的数字信号。

脉搏跳动提示模块:处理后的数字信号通过对LED发光二极管的点亮和熄灭的方式,提示脉搏跳动的状态。

单片机控制模块:单片机作为主控制模块对传递进来的数字信号进行定时,计数及运算处理,计算出每分钟脉搏跳动的次数。

测量结束提示模块:当一次脉搏计数结束后,该模块中的蜂鸣器就会导通,提示本次脉搏测量结束。

LCD显示模块:采用LCD1602显示每分钟脉搏跳动的次数。

电源电路模块:产生直流5V电压给其它电路供电。

复位模块:采用复位电路为单片机实现上电复位和手动复位功能。

晶振模块:采用晶振电路为单片机提供时钟频率。

1.2 系统模块设计方案论证

1.单片机控制模块方案

方案一:采用AT89S52单片机

AT89S52单片机是一种低功耗、高性能CMOS 8位微控制器,具有8K在系统可编程Flash存储器。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单片机芯片上,拥有灵巧的8位CPU和在系统可编程Flash,使得AT89S52单片机为众多嵌入式控制应用系统提供高灵活,超有效的解决方案。

方案二:采用FPGA单片机

FPGA(Field Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

本设计采用方案一,选用AT89S52单片机作为主控制模块。因为FPGA可编程器件接口复杂,操作繁琐。AT89S52单片机使用Atmel公司高密度非易失性存储器技术制造,与工业80C51产品指令和引脚完全兼容,功耗低,操作简单方便,易于实现。

2.脉搏感应模块方案

方案一:HK-2000A集成化脉搏传感器

HK-2000A 集成化脉搏传感器采用高度集成化工艺,将力敏元件(PVDF压电膜)、灵敏度温度补偿元件、感温元件、信号调理电路集成在传感器内。HK-2000A 集成化脉搏传感器的原理是采集信号,输出的模拟信号同步于脉搏波动的脉冲信号,脉搏波动一次,输出一个正脉冲。该产品可用于脉率检测,如运动、健身器材设备中的心率测试。

方案二:光电传感器

光电传感器是各种光电检测系统中实现光电转换的关键元件,它是把光信号(红外、可见及紫外光辐射)转变成为电信号的器件。光电式传感器是以光电器件作为转换元件的传感器。它可用于检测直接引起光量变化的非电量,如光强、光照度、辐射测温、气体成分分析等,可以利用红外光电元器件接收脉搏信号并转换成电信号。

本设计采用方案二作为脉搏感应模块的控制模块,HK-2000A集成化脉搏传感器,集成化程度高,工作过程复杂,价格比较高。光电传感器有精度高、反应快、非接触等优点,而且可测参数多,传感器的结构简单,形式灵活多样,价格比较便宜,操作方便,在检测和控制中应用非常广泛。

1.3 系统技术指标

本课题设计要求具体技术指标如下:

1. 实现一分钟脉搏跳动次数的测量,并显示其数值。

2. 测量误差小于等于3次每分钟。

3. 在脉搏测量时要有脉搏跳动指示。

4. 设计功耗低,体积小,交互性强。

第2章系统硬件设计

图2-1系统硬件框图

图2-1系统硬件框图中,光电传感器红外发射管FBCB30发射的红外线透过手指指尖组织,由红外接收管TBBB30接收脉搏信号,转化为电信号后经过由运算放大器LM358构成的低通滤波放大电路,滤除高频信号并放大输入的电压,再通过由运算放大器LM358构成的电压比较器对放大好的信号进行整形,比较器将模拟信号整形成数字信号。整形好的数字信号一路送入LED脉搏指示电路,根据脉搏跳动节奏闪烁。另一

路送入AT89S52单片机P3.2口,单片机对脉冲信号计数和运算,最后,把计算的结果输出到LCD1602液晶显示,脉搏测试结束后蜂鸣器提示测量完成。

2.1 主控制模块

2.1.1 AT89S52芯片简介

单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。单片机由运算器,控制器,存储器,输入输出设备构成,相当于一个微型的计算机(最小系统),与计算机相比,单片机缺少了外围设备等。单片机的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。

AT89S52系列是AT89系列中新推出的高档型系列。在这个系列中,目前已推出4种产品。AT89S51是这个系列的基本型,AT89S52是本系列的增强型,存储器容量扩大了一倍,增加了两个中断源,16位定时/计数器,增加了一个功能极强的定时/计数2。AT89S52单片机是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash 存储器[2]。使用Atmel公司高密度非易失性存储器技术制造,与工业80C51产品指令和引脚完全兼容。AT89S52单片机在众多嵌入式控制应用系统中得到广泛应用。AT89S52单片机引脚图如图2-2所示:

图2-2 AT89S52单片机引脚图1.AT89S52单片机的主要性能参数如下:

(1) 8位字长CPU;

(2) 振荡器和时钟电路,全静态操作:0~33MHz;

(3) 片内256字节RAM数据存储器;

(4) 片内8K字节系统内可编程Flash存储器;

(5) 4个8位并行I/O端口(P0、P1、P2、P3)共32线;

(6) 3个16位的定时器/计数器;

(7) 全双工(UART)串行口通道;

(8) ISP端口;

(9) 定时监视器(看门狗);

(10) 双数据指针(DPTR);

(11) 20多个特殊功能寄存器;

(12) 电源下降标志。

2.AT89S52引脚功能说明:

VSS(GND):电源地电平;

VCC:电源供电电压4-5V。

P0 口:P0口是一个8 位漏极开路的双向I/O口。作为输出口,每位能驱动8 个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0具有内部上拉电阻。在flash编程时,P0口也用来接收指令字节,在程序校验时,输出指令字节。程序校时,需要外部上拉电阻。

P1 口:P1口是一个具有内部上拉电阻的8位双向I/O口,P1输出缓冲器能驱动4个TTL 逻辑电平。对P1端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入和时器/计数器2的触发输入。

P1口引脚第二功能:

P1.0 定时器/计数器T2的外部计数输入,时钟输出;

P1.1定时器/计数器T2 的捕捉/重载触发信号和方向控制;

P1.5在系统编程用;

P1.6 在系统编程用;

P1.7 在系统编程用。

P2口:P2口是一个具有内部上拉电阻的8位双向I/O口,P2输出缓冲器能驱动4个TTL 逻辑电平。对P2口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)在访问外部程序存储器或用16位地址读取外部数据存储器。

P3 口:P3口是一个具有内部上拉电阻的8位双向I/O口,P3输出缓冲器能驱动4个TTL逻辑电平。P3口亦作为AT89S52特殊功能(第二功能),在flash编程和校验时,P3口也接收一些控制信号。

P3口引脚第二功能:

P3.0RXD(串行输入口);

P3.1TXD(串行输出口);

P3.2 INTO(外中断0);

P3.3 INT1(外中断1);

P3.4TO(定时/计数器0);

P3.5T1(定时/计数器1);

P3.6WR(外部数据存储器写选通);

P3.7RD(外部数据存储器读选通)。

RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将是单片机复位。

ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。对flash存储器编程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位,可禁止ALE操作。该位置位后,只有一条MOVX和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。

PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89S52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次PSEN信号。

EA/VPP:外部访问允许,欲使CPU仅访问外部程序存储器,EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。如EA端为高电平(接Vcc端),CPU则执行内部程序存储器的指令。FLASH存储器编程时,该引脚加上+12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。

XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。

XTAL2:振荡器反相放大器的输出端。

寄存器:并不是所有的地址都被定义了。片上没有定义的地址是不能用的。读这些地址,一般将得到一个随机数据;写入的数据将会无效。用户不应该给这些未定义的地址写入数据“1”。由于这些寄存器在将来可能被赋予新的功能,复位后,这些位都为“0”。

2.1.2单片机最小系统设计

单片机最小系统由单片机的时钟电路和复位电路构成,单片机最小系统如图2-3所示。

图2-3单片机最小系统

图2-3是单片机最小系统电路连接图。单片机的最小系统中18引脚和19引脚接时钟电路,X1接外部晶振和电容的一端,X2接外部晶振和电容的另一端。第9引脚为复位输入端,接上电容、电阻后能够上电复位,20引脚为接地端,40引脚为电源端。复位电路采用手动复位方式[3]。时钟电路以及复位电路设计如下:

1.时钟电路设计

时钟电路就是产生像时钟一样准确的振荡电路。任何工作都按时间顺序,然而用于产生这个时间的电路就是时钟电路,一般由晶体振荡器、晶震控制芯片和电容组成。因此,时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统稳定性。

XTAL1,XTAL2分别是系统时钟信号的输入输出端。在XTAL1,XTAL2的引脚上外接定时原件,内部振荡器能产生自激振荡,定时原件可以采用石英晶体和电容组成的并联谐振电路,电容的值通常选择20-60pF左右,该电容大小会影响振荡器频率的高低、振荡器的稳定性、起振的快速性和温度的稳定性。考虑原件引脚的等效输入电容,两个22pF的电容构成晶振的振荡电路是比较好的选择。

晶振的振荡器频率的范围通常在1.2~12MHz之间,晶体的频率越高,则系统的时钟频率也就变高,单片机的运行速度也就越快。但反过来运行速度快,对存储器的速度要求就高。对印刷电路板的工艺要求也高,即要求浅间的寄生电容要小,晶体和电容应尽可能安装得与单片机芯片靠近,以减少寄生生活,更好的保证振荡器稳定,可靠地工作。本设计采用12MHz晶振Y1,一个机器周期是1us,并联两个22pF瓷片电容C2及

C3构成时钟电路。单片机晶振电路如图2-4所示。

图2-4单片机晶振电路

2.复位电路设计

为保证系统电路工作稳定,程序正常运行,单片机外接复位电路,需要两个机器周期,复位电路如图2-5所示。

图2-5 单片机复位电路

在图2-5中,电容C1为10uF,电阻R2为10KΩ。复位时间T=r×C1,其中的电阻r为单片机内置的10KΩ电阻,则复位时间为100ms。当VCC上电瞬间,电容C1充电电流最大,电容相当于短路,RESET端为高电平,单片机自动复位,当电容C1两端的电压达到电源电压时,电容C1充电电流为零,电容C1相当于开路,RESET 端为低电平,单片机开始正常工作。工作期间,当按下按键S时,开关导通,这个时候电容两端形成了一个回路,复位时间T=R2×C1,则复位时间为100ms。所以在按键按下的这个过程中,电容开始释放之前充的电量。随着时间的推移,电容的电压在0.1S 内,从5V释放到变为了1.5V,甚至更小。根据串联电路电压为各处之和,这个时候10K

电阻两端的电压为3.5V,甚至更大,所以RESET引脚又接收到高电平。单片机系统自动复位。

2.2 脉搏感应模块

2.2.1 光电传感器简介

1.光电传感器原理

根据朗伯.比尔定律,物质在一定波长处的吸光度和他的浓度成正比。当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强,将在一定程度上反映了被照射部位组织的结构特征。手指组织可以分成皮肤、肌肉、骨骼等非血液组织和血液组织,其中非血液组织的光吸收量是恒定的,而在血液中,静脉血的搏动相对于动脉血是十分微弱的,可以忽略。因此,可以认为光透过手指后的变化仅由动脉血的充盈而引起的,在恒定波长的光源照射下,通过检测透过手指的光强可以转变为人体的脉搏信号[4]。

当血液送到人体组织时,组织的半透明度减小,当血液流回心脏,组织半透明度则增大;这种现象在人体组织较薄的手指尖、耳垂等部位最为明显。因此,根据以上原理,本设计将3mm红外发射管FBCB30产生的红外线照射到人体的手指指尖部位,经过手指组织的反射和衰减,由装在该部位旁边的3mm红外接收管TBBB30接收其透射光并把它转换成电信号。由于手指动脉血在血液循环过程中呈周期性的脉动变化,所以它对光的反射和衰减也是周期性脉动的, 于是,红外接收管输出信号的变化也就反映了动脉血的脉动变化。只要将转换成的电信号进行滤波,放大整形,计数和显示,即可实时的测出脉搏的次数。

2. 光电传感器结构

光电传感器由3mm红外发射管FBCB30和3mm红外接收管TBBB30组成。采用3mm红外发射管作为发射端时,从红外发射管发出的红外线除被手指组织吸收以外,一部分由血液漫反射返回,其余部分透射出来,红外接收管接收透过指尖透射出来的光信号转换为电信号[5]。本系统采用红外发射管与红外接收管的距离相等并且对称布置,这种方法可较好地反映出心律的时间关系,实现了光电隔离,减少了对后级模拟电路的干扰。光电传感器结构如图2-6所示。

图2-6透射式光电传感器

2.2.2信号采集电路

本设计采用红外线发射管和红外发射接收管采集脉搏信号,并将采集到的脉搏信号转化为电信号供下级电路处理,具体电路如图2-7所示。

图2-7信号采集电路

图2-7是脉搏信号的采集电路,主要是用3mm红外发射管和3mm红外接收管装置检测脉搏信号,将检测到的信号Ui加到放大整形电路输入端Uo1,因为Ui中可能存在高频谐波,高频谐波会对测量结果产生影响,所以,设计添加一级由R7和C5构成的RC低通滤波电路将高次谐波滤除。

1.限流电阻R5及R6设计

首先,红外发射管的工作电流一般小于20mA ,根据电源电压VCC 为5V ,可以计算出R5的值应大于250欧姆;其次,经多次测试发现,当红外发射二极管中的电流越大时,发射角度越小,产生的发射强度就越大,基于红外接收管感应红外光灵敏度考虑,若R5设计过大,通过红外发射管的电流偏小,红外接收管无法区别有脉搏和无脉搏时的信号。反之,若R5设计过小,通过的红外发射管电流偏大,红外接收管也不能准确地辨别有脉搏和无脉搏时的信号[6]。经过多次实际测试,R5最终取值为360欧姆。R6的取值只要满足流过红外接收管的额定电流小于20mA ,在满足条件的范围内,R6的取值电路的影响不大,本次设计采用20K 的电阻R6。

2. 滤波参数R7及C5设计

经多次测试得出,人体运动时的脉搏接近200次/分钟时,频率约为3.33Hz ,所以,由R7和C5构成的滤波器要初步滤除高于3.3Hz 的高频谐波。

RC

f π210= (2-1) 式(2-1)为截止频率公式,由式(2-1)来确定R7和C5的取值。本次设计采用68K 的电阻R7,1uF 的电容C5,根据式( 2-1 )可算出截止频率为2.34Hz 左右。

2.3 信号处理模块

2.3.1 LM358芯片简介

LM358芯片内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益 模组,音频放大器、工业控制、DC 增益部件和其他所有可用单电源供电的使用运算放大器的场合[7]。 LM358的封装形式有塑封8引线双列直插式和贴片式。LM358芯片结构如图2-8所示。

图2-8 LM358芯片结构

1.LM358性能参数:

(1)大直流电压增益:100dB;

(2)低输入偏置电流:20 nA;

(3)低输入失调电压:2mV;

(4)低输入偏置电流:2 nA;

(5)单位增益频带宽:1 MHz;

(6)大输出电压摆幅:0 V至1.5V;

(7)电源电压范围宽:单电源3V至30V。

2.引脚功能如表2-1所示。

表2-1LM358引脚功能说明

引脚功能

1 输出1

2 反相输入1

3 非反相输入1

4 VCC–

5 非反相输入2

6 反相输入2

7 输出2

8 VCC +

2.3.2 低通滤波放大电路设计

低通滤波器的功能就是允许某一部分频率的信号顺利通过,而另外一部分频率的信号则受到较大的抑制,滤波器实质上是一个选频电路,所以也称选频装置。本次设计的低通滤波放大电路,滤除Uo1中的高频谐波,对微弱的Uo1信号进行放大处理[8],整体滤波放大电路如图2-9所示。

图2-9低通滤波放大电路

1.滤波电路设计

图2-9中,经过R7和C5构成的滤波器,初步滤除高频谐波信号后,从Uo1输入端加到运算放大器的正相输入端3脚,因为,初步滤除的信号中仍存在高频谐波信号和一些过低的低频谐波信号,不足以达到脉搏测量的低频要求,所以,需要在设计一个由R8和C6构成的低通滤波电路,进一步滤除高频谐波信号和一些频率过低的低频谐波信号。

因为,人体在安静时的脉搏跳动大约是50次/分钟,频率约为0.78Hz,人体在剧烈运动时脉搏跳动大约在200次/分钟,频率约为3.3Hz[9],所以,本设计需要滤除高于3.3Hz 和低于0.78Hz的谐波信号。根据式(2-1)可以算出截止频率的取值范围f<3.3Hz。

0.78Hz<

τ(2-2)

=

RC

式(2-2)为时间常数公式,经计算可得,0.047s<τ<0.20s,所以,这里C6和R8根据时间常数τ取值,本次设计中采用330nF的电容C6,200K的电阻R8时,

RC

f π210=41.2≈Hz ,符合低频要求。 2.放大电路设计

由红外光电传感器将脉搏信号转化为电信号,经过R7和C5构成的滤波器阶低通滤波器,从Uo1输入端加到运算放大器U2A 的正相输入端3脚。因为输入到正相输入端的电压较小,不能达到整形所需电压,所以,需要设计一个放大器将Uo1端输入电压放大。

当运放特性理想时,电路的传递函数[10]为:

)

861(w 81)(1R sC R R s A ++

= (2-3) 电路放大倍数为: 1

w 81R R Ao += (2-4) 多次实际测试发现,图2-9中的运算放大器U2A 的放大倍数在20倍左右,可以达到整形所需要求的电压幅度。已知R8的取值为200K ,根据式(2-4)可知R w1取10K 。可以通过改变R w1的值适当地改变放大倍数。此外,通过放大器放大后的信号是不规则的,有高频谐波信号干扰,所以,设计添加1uF 的耦合电容C7,C7具有通低频阻高频作用,经过C7耦合后的输出信号Uo2送到下一级整形电路。经过低通放大后输出的信号Uo2是脉动正弦波形如图2-10所示。

图2-10 Uo2波形图

2.3.3整形电路设计

Uo2为正弦波模拟量,不能直接提供给单片机使用,所以,需要设计一个电路,将正弦波形信号整形成方波信号,本次设计的整形电路主要利用电压比较器实现。

电压比较器的基本功能是对两个输入电压的大小进行比较,判断两个输入电压中哪

基于STM32的脉搏测量仪设计

基于STM32的脉搏测量仪设计 脉搏测量仪是一种用于测量人体脉搏的仪器。本文将设计一种基于STM32的脉搏测量仪,并介绍其主要功能和设计思路。 一、功能需求分析 脉搏测量仪的主要功能为测量人体脉搏,并实时显示脉搏波形和心率。根据这一功能需求,我们可以进一步分析出所需的具体功能模块: 1.传感器模块:用于检测人体脉搏,并将其转换为电信号。 2.信号处理模块:对传感器采集到的信号进行放大、滤波和数字化处理。 3.心率计算模块:通过对信号进行处理,实时计算出心率。 4.显示模块:将心率和脉搏波形实时显示在屏幕上。 二、硬件设计 1.传感器模块采用光电测量原理,通过红外光发射二极管和光敏电阻 来检测人体脉搏。在手指上放置一个带有光敏电阻的小夹具,通过红外光 源照射手指,当光线被血液吸收时,光敏电阻的电阻值会发生变化,从而 可以检测到脉搏信号。 2.信号处理模块采用了运放电路来放大和滤波脉搏信号,然后使用STM32的模数转换器将信号转换为数字信号。运放电路中的放大倍数和滤 波器的参数可以通过调试来确定,以获得最佳的脉搏信号质量。

3.心率计算模块将数字信号经过处理后,使用算法计算出心率。常用 的方法是通过寻找脉搏信号的波峰和波谷,然后计算脉搏波的周期,再根 据周期计算心率。 4.显示模块使用了液晶显示屏,可以将心率和脉搏波形实时显示在屏 幕上。可以使用STM32的GPIO口和SPI接口来控制液晶显示屏。 三、软件设计 1.通过STM32的GPIO口和SPI接口,将数据发送到液晶显示屏上, 并实时更新心率和脉搏波形。可以使用TFTLCD库来进行液晶显示的控制。 2.使用STM32的定时器和中断功能,对脉搏信号进行采样和计算心率。可以通过设置定时器的时钟源和分频系数来控制采样率。 3.心率计算算法可以在软件中实现,通过对脉搏波形进行检测和分析,计算心率并显示在屏幕上。 四、系统测试 在设计完成后,可以进行系统测试来验证脉搏测量仪的功能和性能。 可以通过将传感器模块连接到手指上,然后打开设备,观察屏幕上显示的 心率和脉搏波形是否正确。 总结: 本文基于STM32设计了一种脉搏测量仪。通过使用光电传感器,信号 处理电路,心率计算模块和显示模块,可以实时测量人体脉搏,并将心率 和脉搏波形显示在屏幕上。这种脉搏测量仪可以用于医院、健身房等场所,为人们提供准确和便捷的脉搏测量服务。

基于单片机的脉搏心率测量仪的开发与设计毕业论文

毕业设计(论文) 题目:基于单片机的脉搏测量仪的研究与设计

摘要 在传统的医疗检测中,脉象检测一直都起着非常重要的作用,人体的脉象包含着大量的人体的生理和病理方面的信息。脉诊一直是医生诊断疾病的重要手段之一,但受人为因素的影响很大。经医学观察研究表明,人体手指末端含有丰富的毛细血管和小动脉,这些动脉和人体其他地方的动脉一样,含有丰富的生理信息。由于光电脉搏检测技术具有很高的绝缘性,且抗电磁等干扰能力强,可以对人体进行无损伤检测。本文设计通过光电法对人体指尖的脉搏进行测量,并将测量信息送入单片机进行处理,最后通过数码管将测量结果显示出来。将对脉搏信号的检测模块,脉搏信息的处理模块,单片机,数码管显示模块等电路集成在一块电路板上,形成一个简易的脉搏测量仪。这种测量仪具有精确度高,体积小,价格便宜,易于操作等特点,特别适合于个人使用和家庭使用,给我们的生活带来极大方便,让我们第一时间对自己的身体状况有进一步的了解。 关键词:脉搏;光电传感器;单片机;数码管

Abstract In the traditional medical testing,the pulse condition detection has been playing a very important role.The pulse condition of the human body contains a large number of physiology information and pathology information,the pulse examination has been being one of the important means for the doctor to diagnosis the illness.But the man-made factors influence it very much,the medical observation research shows.The end of the finger contains rich capillaries and small arteries.These arteries and the other arteries of the body hold rich physiologic information.The Photoelectric pulse detecting technology can test the body without damage owing to its high insulation and strong ability to resist the electromagnetic interference.This design in the text can survey the pulse of the finger tip through photoelectric method and transport the information to the microcontroller to do with it.At last,the result is showed by the digital tube.When the electric circuit such as the detection module of the pulse signal,the processing module of the pulse information,SCM,digital tube are integrated in the board of electric circuit,it formed an simple pulse measuring instrument,this instrument has high accuracy,small, cheap,and easy to operate.It is especially suitable for personal use and family use.It brings great convenience to our life,so we can have a further understanding of our body condition. Key words: Pulse;Photoelectric transducer;SCM;Digital tube

脉搏计课程设计报告

报告成绩电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2021级通信工程4班 指导教师:周妮讲师 起止日期:2021年3月—2021年6月 电气与信息工程学院 2021年6月3日

目录 1目的与意义1 3 方案设计1 4 系统硬件设计3 5仿真调试与分析10 6结论与体会11 参考文献11 附录11 附录A 系统实物图11

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于XX 管理,运发动的训练等方面,为提高运用电子技术根本知识进展理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成局部,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进展连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。〔只考虑数字局部,即输入波形视为矩形波〕 2、制作步骤 〔1〕拟定测试方案和设计步骤,填写真值表; 〔2〕根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; 〔3〕进展相应的仿真测试; 〔4〕设计、调试和安装电路并测试; 〔5〕撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

基于CD4069及51单片机的脉搏测量仪设计

基于CD4069及51单片机的脉搏测量仪设计 一、电路工作原理 电路由传感器电路、信号放大和整形电路、单片机电路、数码显示电路等四部分组成。 传感器由红外线发射二极管和接收二极管组成,测量原理如下:将手指放在红外线发射二极管和接收二极管之间,血管中血液的流量随着心脏的跳动变化,由于手指放在光的传递路径中,血管中血液饱和度的变化将引起光的传递强度变化,此变化和心跳的节拍相对应,因此红外接收二极管的电流也跟着心跳的节拍改变,使得红外接收二极管输出与心跳节拍相对应的脉冲信号。该脉冲信号经F1~F3、R3~R5。C1、C2等组成的低通放大器放大,F4、R6、R7、C3组成的放大器进一步放大后,送给由F5、F6、RP1、R8等组成的施密特触发器整形后输出,作为单片机的外部中断信号。 IC2、X1、R10、C5等组成单片机电路。单片机对由P3.2输入的脉冲信号进行计算处理后,送到数码管显示。发光二极管VD3作脉搏测量状态显示,脉搏每跳动一次,VD3点亮一次。 三只数码管VT1~VT3、R12-R21等组成数码显示电路。本机采用动态扫描显示方式,使用共阳数码管,P3.3~P3.5口作三只数码管的动态扫描位驱动码输出,通过三极管VT1-VT3驱动数码管。P1.0-P1.6口作数码管段码输出。 二、软件设计 程序用C语言编写,由主程序、外部中断服务程序、定时器TO中断服务程序、延时子程序等模块组成。主程序主要完成程序的初始化。外部中断0服务程序由测量、计算、读数等部分组成。定时中断服务程序由计时、动态扫描点显示、无测试信号判断等部分组成。程序中用变量n对时间计数,用变量m对脉搏脉冲信号个数计数。 从P3.2口输入的与脉搏相对应的脉冲信号作为外部中断0的请求中断信号,外部中断采用边沿触发的方式。由于脉冲信号的频率很低,所以不适宜用计数的方法进行测量,故而采用测脉冲周期的方法进行测量,即用脉冲来控制计时信号,通过计时数计算出脉冲周期,再由脉冲周期计算出频率,从P3.2口每输入一次脉冲信号就能显示一次脉搏数。 定时器TO的中断时间为5ms,每中断一次计时变量n加1,因此计时的基本单位为5ms,例如一个脉搏脉冲周期对应的n值为240,则对应的时间为1.2s,由此可得每分钟脉搏数为50。如果n的值达到2000,即10秒钟仍没有发生外部

基于STM32的脉搏心率检测仪设计与实现

基于STM32的脉搏心率检测仪设计与实现 引言 脉搏心率检测是一项重要的生理参数测量技术,广泛应用于医疗领域和个人健康管理中。基于STM32的脉搏心率检测仪设计与实现是一个基于嵌入式系统的项目,旨在通过传感器采集用户的脉搏数据,并通过算法计算出心率值。本文将介绍这个项目的设计和实现细节。 设计原理 脉搏心率检测仪的设计原理基于光电传感器和STM32微控制器。光电传感器是一种能够检测到光线变化的传感器,通过检测光线的变化来获取脉搏信号。STM32微控制器作为主控制单元,实现信号采集、处理和心率计算等功能。 设计流程如下: 1. 使用光电传感器采集用户的脉搏信号,通过光电传感器输出的电压信号来检测光线变化。 2. STM32微控制器通过模数转换器(ADC)将传感器输出的电压信号转换为数字信号。 3. 使用滤波技术对数字信号进行滤波处理,去除噪声信号。 4. 通过信号处理算法计算出心率值。 5. 将心率值通过显示器显示出来。

硬件设计主要涉及到光电传感器、STM32微控制器和显示器的选型和连接方式。 光电传感器选型 光电传感器是脉搏心率检测仪的核心传感器。在选型时,需要考虑传感器的灵敏度、响应速度和抗干扰能力等因素。常用的光电传感器有光敏二极管(PD)、光敏转换器(LDR)和光电二极管(Photodiode)等。 STM32微控制器选型 选择适合的STM32微控制器是因地制宜的。需要考虑的因素包括处理速度、存储容量和接口等。常用的STM32系列微控制器有STM32F1系列、STM32F4系列和STM32L系列。 显示器选型 显示器用于显示心率值。常用的显示器有字符型液晶显示器(LCD)和触摸屏显示器等。选择时需要考虑显示效果和接口等。

基于STM32的脉搏测量仪设计毕业设计

安徽机电职业技术学院毕业论文基于STM32的脉搏测量仪设计

安徽机电职业技术学院2015届毕业生 毕业论文成绩评定单 姓名xxx 专业xx 班级xxxx 课题基于STM32的脉搏测量仪设计 评分标准分值得分 指导教师评语(40分)设计方案合理、实用、经济、原理分析正确、严密,内容完整。 10 计算方法正确,计算结果准确,程序设计正确简洁,工艺合理。 5 元器件(材料)选择合理,明细表规范。 5 图面清晰完整,布局、线条粗细合理,符合国家标准。 5 文字叙述简明扼要,书写规范。 5 按时独立完成,同学相互关心,遵守制度,认真负责。 10 合计得分:指导教师签名:日期:年月日 评阅教师评分(30分)内容充实,有阶段性成果,有应用价值。 10 图纸、论文如实反映设计成果,有理论分析,又有实践过程。 10 语句通顺,思路清晰,符合逻辑。 5 图标清晰,文字工整,字符和曲线标准化。 5 合计得分:评阅教师签名:日期:年月日 答辩评分(30分)自述条理明确,重点突出。 5 基本概念清楚,回答问题正确。 15 专业知识运用灵活,解决问题技术措施合理。 10 合计得分:答辩组长签名:日期:年月日 总得分:等级系主任签名:日期:年月日

指导教师评语 等级签名日期

安徽机电职业技术学院毕业论文指导过程记录表 题目基于STM32的脉搏测量仪设计 学生姓名x 学号x 指导教师xx 系部电气工程 系 班级x 顺序号第 1次 学生完成毕业论文(设计)内容情况第一周: 指导老师布置毕业设计课题,要求学生查阅有关毕业设计的相关资料; 学生签名: 时间:年月日 教师指导 内容记录 教师签名: 时间:年月日

基于STM32的便携式脉搏测量系统的设计

基于STM32的便携式脉搏测量系统的设计 专业: 班级: 姓名:

目录 1 绪论 (6) 1.1 选题背景及意义 (6) 1.1.1 社会背景 (6) 1.1.2 环境背景 (7) 1.1.3 经济背景 (8) 1.2 意义 (8) 1.3 国内外研究现状与水平 (9) 1.4 研究的主要内容 (11) 2 方案论证 (12) 2.1 总体方案设计 (12) 2.2 主控模块选型 (12) 2.2.1 51单片机 (12) 2.2.2 FPGA (13) 2.2.3 STM32单片机 (14) 2.3 显示模块的选择 (16) 2.4 编程语言的选择 (18) 2.4.1 汇编语言 (18) 2.4.2 C语言 (19) 3 电路的设计 (20) 3.1 系统总体描述 (20) 3.2 单片机 (20) 3.3 脉搏传感器 (21) 3.4 心率检测模块电路图 (23) 3.5 LCD液晶显示模块 (24) 3.5.1 LCD1602简介 (24) 3.5.2 液晶的成像原理 (25) 3.5.3 液晶显示屏的分类 (25) 3.6 液晶显示电路 (26) 3.7 电源电路和开关 (26) 4 系统硬件的设计 (28) 4.1 电路原理图绘制 (28) 4.2 软件设计 (29) 4.2.1 Keil软件的简介 (29) 4.3 主函数流程图 (30)

5 系统调试 (33) 总结 (36) 致谢 (37) 参考文献 (38)

摘要 现代科学技术的发展极大带动了产品自动化的发展,并且伴随着经济的发展人们的生活也越来越富裕,几乎都解决了温饱问题,所以人们针对于精神消费以及对自身健康的关注度逐年增高,脉搏检测作为医疗判断的一种方式,人们为了更加了解自身健康一般都会在家庭购买,所以人们对于脉搏检测仪提出了更高的要求,不仅要求其体积微小便于携带,更要求其精确度要更加准确。因此,本课题旨在设计一个轻巧便携的脉搏检测系统。 本设计采用STM32F103C6系列单片机作为主控芯片,通过搭配脉搏检测传感器和LCD显示屏搭建成此系统,需要实现的功能是当手指或耳垂贴近传感器时,LCD能实时显示出当前脉搏跳动信息。单片机通过检测传感器传送过来的脉冲来对脉搏跳动次数进行统计。对系统进行拆分可以分为主控模块、脉搏检测传感器模块、LCD显示模块、开关模块,通过软件将几个模块连接起来进行协同工作,从而实现本系统点滴输液系统的设计。 关键词: 脉搏检测仪; STM32单片机;脉搏检测;脉冲检测

基于单片机脉搏心率计的设计与研究

基于单片机脉搏心率计的设计与研究 在现代社会中,心脏疾病已成为一种常见疾病,并且威胁到人们的健康。因此,发展一种便捷、准确测量心率的设备是非常有必要的。本文将 介绍一个基于单片机的脉搏心率计的设计与研究。 脉搏心率计是一种用来测量人体心率的设备,它通过检测人体脉搏信 号的频率来计算心率。在传统的脉搏心率计中,需要人工计数脉搏信号的 频率,这样不仅测量的结果不准确,而且也非常不方便。因此,我们需要 开发一种自动化的脉搏心率计来解决这个问题。 在本设计中,我们选用了一块常见的单片机芯片作为主控制芯片,通 过合适的传感器采集人体脉搏信号,然后由单片机芯片对这些信号进行处 理和分析,最终得到准确的心率值。 在选用传感器时,我们可以选择光电传感器作为测量脉搏信号的工具。光电传感器能够通过测量光线强度的变化来判断心率,应用非常广泛。光 电传感器由一个发光二极管和一个光敏二极管组成,发光二极管发出红外光,当光线经过人体组织后,会发生血红蛋白的吸收,进而改变光敏二极 管的电压。通过对光敏二极管的电压变化进行采样,我们就可以得到一个 与心率相关的脉搏信号。 在单片机的程序设计中,我们首先需要对传感器采集到的信号进行滤 波和放大处理,以保证信号的准确性和稳定性。然后,我们需要对采集到 的信号进行处理和计算,得到最终的心率值。计算心率的方法有很多种, 最常见的是计算信号的峰值和谷值之间的时间间隔,然后将这个时间间隔 转换成心率值。在计算过程中,我们可以采用一些算法来对数据进行平滑 处理,以提高计算结果的准确性。

最后,为了方便使用和检测,我们还可以将心率计与智能手机等设备进行连接,实现数据的传输和存储。通过连接智能手机,用户可以通过手机应用程序实时监测和记录心率数据,在需要时还可以与医生或医疗机构进行远程交流。 总之,基于单片机的脉搏心率计是一种非常有价值的设备。它不仅能够准确测量心率,而且还具有便捷、可靠的特点,可以有效地帮助人们监测和管理心脏健康。随着技术的不断发展和创新,相信脉搏心率计将在未来得到更广泛的应用和推广。

单片机的智能脉搏测试仪设计方案

基于单片机的智能脉搏测试仪设计摘要 脉搏测量仪在我们的日常生活中已经得到了非常广泛的应用。为了提高脉搏测量仪的简便性和精确度,本课题设计了一种基于51单片机的脉搏测量仪。系统以AT89C51单片机为核心,利用光电传感器采集信号,通过硬件电路整形放大后,实现单片机对脉搏的累加计数。系统运行中能显示脉搏次数,系统停止运行时,能够显示总的脉搏次数。经测试,系统工作正常,能准确达到设计要求。 关键词:脉搏计数 AT89C51单片机光电传感器

Abstract Pulse measuring instrument has been widely used in our daily life. In order to increase its simplicity and accuracy,this subject designs one system based on single-chip microcomputer . The system takes the AT89C51 microcontroller as the core, uses the optical sensor to collect signals.After shaping and enlarging by hardware circuits, the microcontroller can make the pulse accumulated counting. The system can display the time of the pulse during operation. It can also show the total number when it stops. After testing, the system works well and meets the design requirementsaccurately. Keywords:Pulse countingAT89C51 single-chip microcomputerPhotoelectric sensor

10168140-丁超越-基于单片机的脉搏计设计

安徽农业大学 毕业论文(设计) 论文题目:基于单片机的脉搏仪设计 姓名:丁超越学号:10168140 院系:信息与计算机学院专业:电子信息工程 指导教师:孟浩职称:教授 中国·合肥 二〇一五年五月

安徽农业大学学士学位论文(设计)开题报告

目录 1 引言 (1) 2 设计思想 (2) 3 系统硬件选择方案 (3) 3.1 各模块的选择与论证 (3) 3.1.1 单片机的选择 (3) 3.1.2 显示模块的选择 (3) 3.1.3 传感器的选择 (4) 3.2 概述 (5) 4 系统的硬件电路设计 (5) 4.1 设计原理 (5) 4.2 单片机主控模块 (5) 4.2.1 单片机引脚和结构 (6) 4.2.2 STC89C52的中断系统 (10) 4.2.3 STC89C52的最小系统设计 (10) 4.3 LCD液晶显示屏 (11) 4.3.1 液晶显示原理介绍 (11) 4.3.2 液晶模块简介 (12) 4.3.3 显示器与单片机的链接 (12) 4.4 信号的采集与处理 (13) 4.4.1 光电传感器 (14) 4.4.2 放大滤波整形电路 (14) 5 系统软件设计 (16) 5.1软件流程设计 (16) 5.2 软件功能设计 (17) 6 系统调试 (18)

6.1 硬件调试 (18) 6.2 软件调试 (19) 6.3 全系统测试 (19) 6.3.1 整机测试 (19) 6.3.2 误差分析 (20) 7 结束语 (21) 英文摘要 (22) 致谢 (23) 附录1 元件清单 (24) 附录2 原理图、PCB图与仿真图 (25) 附录3 程序 (28)

电子脉搏计设计

电子脉搏计设计 1 .设计思路 正常人的脉搏次数是每分钟60~80次(婴儿为90~140次,老年人则为100~150次), 这种频率信号属于低频范畴.因此,脉搏计的用来测量低频信号的装置,它的基本功能要求应该是: (1).要把人体的脉搏数(振动)转换成电信号,这就需要借助传感器。 (2).对转换后的电信号要进行放大和整形处理,以保证其它电路能正常加工和处理。 (3).在很短的时间(若干秒)内,测出经放大后的电信号频率值。 总之,脉搏计的核心是要对低频信号在固定的短时间计数,最后以数字形式显示出来。可见,脉搏计的主要组成部分是计数器和数字显示器。 2 .方案设计 2.1 选用方案原理方框图: 图1:原理框图 3 .单元电路的设计 3.1信号发生与采集 脉搏传感器的作用是将脉搏信号转换为响应的电冲信号。脉搏传感器是脉象检测系统中重要的组成部分,其性能的好坏直接影响到后置电路的处理和结果的显示。目前典型的脉搏传感器有以下三种:光电类、压阻类和压电类。在这三种当中目前采用最多的 信号发生 与采集

是压电型传感器。 压电式传感器的工作原理是以某种物质的压电效应为基础。这些物质在沿一定方向受到压力的或拉力的作用而发生变形时,其表面会产生电荷;若将外力去掉时,它们又重新回到不带电的状态,这种现象就称为压电效应。而具有这种压电效应的物体称为压电材料或压电元件。常见的压电材料有石英、钛酸钡、锆钛酸铅等。 3.1.1信号放大电路 这部分电路主要完成将5mV 的正弦波输入信号放大1000倍(5V ),使其可以驱动后续的CMOS 数字电路。采用运算放大器LM324构成的反相放大电路: 在理想条件下有i V R R V ⨯-=12 0运放的闭环电压增益为1 2 R R A Vf -=,输入电阻为Rif=R1。 如果对输入电阻有要求可以先确定R1,再根据放大倍数确定R2。为了减小输入偏置电流引起的运算误差,在同相输入端应接平衡电阻R3,且R3=R1∥R2。 图2:放大电路 实际电路中拟采用三级放大,电路图如图2所示: 参数选定如下:输入电阻要求不小于107欧,因而选定R1=10M 欧,第一级电路放大10倍,因而R2=100M 欧,R3=R1∥R2=9.1M 欧,第二级及第三级放大电路放大倍数仍为10倍,R5=R8=10k 欧,R7=R9=100k 欧,R4=R6=9.1k 欧。这种电路接线简洁明了,成本较低,可靠性好。 此外,还可以采用同相放大电路,原理与反相放大电路类似,不再叙述。

脉搏计的设计毕业设计

四川信息职业技术学院 毕业设计说明书(论文) 设计(论文)题目: 脉搏计的设计 专业: 通信技术 班级: 通技06-2 学号: 姓名: 指导教师:

四川信息职业技术学院毕业设计(论文)任务书 备注:任务书由指导教师填写,一式二份。其中学生一份,指导教师一份。

目录 摘要 (1) 第1章绪论 (2) 目的与意义 (2) 思想与方式 (2) 第2章方案设计 (3) 方案比较与论证 (3) 方案选择 (5) 第3章单元电路设计 (6) 放大与整形电路 (6) 传感器 (6) 放大电路 (6) 有源滤波电路 (7) 整形电路 (7) 电平转换电路 (7) 倍频电路 (8) 基准时刻产生电路 (8) 秒脉冲发生器 (9) 十五分频和二分频器 (9) 基准时刻产生电路 (9) 计数、译码、显示电路 (10) 操纵电路 (13) 总结 (14) 致谢 (15) 参考文献 (16) 附录总电路原理图 (17)

摘要 本设计要紧由传感器、计数器、译码器和时基信号发生器等部份组成的数字脉搏。要求能测量人在一分钟内的脉搏数,并以数字显示,测量的脉搏数范围40~200次/分钟,适用于各个年龄及性别的人,能判定心率不齐且进行告警显示。 关键词数字脉搏测试;时基信号;发生器计数器;译码器

第1章绪论 目的与意义 从脉搏波中提取人体的生理病理信息作为临床诊断和医治的依据,从来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手腕。脉搏波所呈现出的形态(波形)、强度(波幅)、速度(波速)和节律(周期)等方面的综合信息,在专门大程度上反映出人体心血管系统中许多生理病理的血流特点,因此对脉搏波搜集和处置具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号,脉搏波信号更是低频微弱的非电生理信号,必需通过放大和后级滤波以知足搜集的要求。 思想与方式 目前的指端脉搏检测系统都是采纳模拟技术来完成滤波,放整型等处置,再通过模数转换和进一步处置。这种方式不仅增加了硬件的复杂程度,增大了功耗和体积,更要紧的是增加了系统不靠得住和不稳固因素。随着电子测量技术的迅速进展,现代电子测量仪器以极快的速度向数字化、自动化的方向进展。本文针对目前的脉搏波检测系统的问题,提出了脉搏波检测系统的数字化设计思想,采纳了ADI公司生产的ADμC841单片机,它的体积小,功耗低,内部集成了8052微处置器的内核,精准、高速的8通道12位模数转换(其最高转换速度420Ksps),双12位的输出电压数模转换器,并提供了62k字节闪速电擦除程序存储器、8k字节闪速/ 电擦除数据存储器、和2304字节的数据RAM等。本系统利用过采样技术,通过对光电转换后的电信号高速采样实现高分辨率模数转换,然后再进行数字滤波处置,从而代替原有模拟电路完成放大滤波等工作,以简化设计,提高系统稳固性。

数字脉搏测试仪的设计与实现_毕业设计论文

毕业设计论文 数字脉搏测试仪的设计与实现

目录 目录 .................................................................................................................... I 摘要 ................................................................................................................ III I ABSTRACT...................................................................................... I错误!未定义书签。1绪论 (4) 1.1脉搏测量的概况及意义 (4) 1.2本文研究的主要内容 (5) 2系统总体设计方案 (3) 2.1 硬件设计方案选择 (3) 2.1.1 脉搏传感器的选择 (3) 2.1.2 单片机的选择 (5) 2.2 软件设计方案 (6) 3系统硬件电路设计 (8) 3.1脉搏信号放大电路设计 (8) 3.2A/D转换电路设计 (8) 3.3 单片机最小系统的设计 (16) 3.4显示电路设计 (17) 3.5 报警电路设计 (11) 4系统软件设计 (18) 4.1脉搏频率测量原理 (19) 4.2 系统主程序的设计 (21) 4.3 系统子程序的设计 (21) 4.3.1 显示子程序的设计 (21)

4.3.2 报警子程序的设计 (21) 5仿真与调试 (26) 5.1 Protues简介 (26) 5.2 仿真结果 (27) 5.3 系统调试 (28) 结束语 (30) 致谢 ............................................................................................ 错误!未定义书签。参考文献.. (32) 附录 (33) 附录一:硬件电路原理图 (33) 附录二:数字脉搏测试仪PCB图 (30) 附录三:数字脉搏测试仪设计的源程序................................................ 错误!未定义书签。

基于单片机的人体脉搏检测系统设计

基于单片机的人体脉搏检测系统设计 摘要 脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血管系统中许多生理疾病的血流特征。本课题是人体脉搏测量仪的设计。由于脉搏信号的特殊性,在设计时必须要注意实现测量的准确。该系统的重点就在于要求实现测量的简便化和精确化。系统测量出人体一分钟的脉搏,并且保证误差在2次以内。本系统以89S51单片机作为中心,通过使用单片机来实现系统最核心的计算脉搏功能。在信号的前端处理上,使用压电陶瓷片采集人体脉搏信号,然后经过AD620放大,施密特触发器整形,低通滤波器滤波等一系列操作,将脉搏信号转换为同频率的脉冲信号输入到单片机内,并利用单片机对其进行计数。计数的方法是利用单片机的计时器,然后由该周期计算出频率,继而就可以求出一分钟的脉搏数。计数结果将最终送至液晶屏1602来进行显示。虽然压电陶瓷片的性能并非很好,在信号的采集上不能实现非常精确的采集,但是它的价格低廉,并且在经过系统的信号调理电路后,也能比较满意的实现我们所要实现的目标。系统运行中能显示脉搏次数和时间,系统停止运行时,能够显示总的脉搏次数和时间。本文首先描述本设计的整体思路,然后介绍各个部分设计中的细节问题,最后提出一些完善本设计的改进意见。 关键字:脉搏测量;压电陶瓷片;液晶显示屏;单片机

THE DESIGN OF HUMAN PLUSE DETECTION SYSTEM BASED ON MCU ABSTRACT The shape, intensity, speed, and rhythm of pulse signals mostly reflect the physical and pathological characters of heart-blood system in human bodies. This topic is a design of body pulse measuring instrument. Because of the specificity of the pulse signal, the design must pay attention to achieve an accurate measurement.The point of this design is the simple and precise of the measurement.We need to measure the pulse of the human body in one minute,and to ensure that the error in less than 2 times..The whole system is center on single-chip microcomputer 89s51,using the signle-chip to achieve the system core function of counting pulse.In the front-end of the signal, we use piezoelectric ceramics to collect the signal of the human body pulse.And then,after after amplification of the AD620, shaping of the 555, filtering of the low-pass filter and other operations,the signal will be converted to the pulse signal with the same frequency,and this signal will be input to the single-ship.The single-ship will count to this.The method of counting is using the timer of the single-ship,and then use the cycle,get the frequency,by the frequency,we can get the number of the one-minute pulse. The final result of the count will display in the 1602 LCD screen.Although the performance of the piezoelectric ceramics is not very good,in the signal collection.it can’t do it very precise.But its price is very low,and after the signal conditioning circuit of the system,the signal can be quite satisfactory to achieve our objectives. At the beginning of the paper, the integral notion of the device design is brought out. Afterwards, the detail information of each part is narrated. At last part, some suggestions for improving the device are provided. Key words:Pulse measurement; piezoelectric ceramics; LCD; single-ship

脉搏信号采集系统的设计

第一章绪论 脉诊传统中医中最具有特色的诊断方法之一,是中医理论体系中必不可少的组成部分。脉象(脉搏信号)能反馈出人体各部分的生理与病理信息,是反映人体内部各种功能变化窗口,可以为疾病的诊断提供重要的参考依据。 脉诊在临床医学的运用十分广泛,涉及到医学很多领域,医生根据脉象的变化,可以测知人体的健康状况,推断病源的出处,以便为开处方提供依据。但是中医的把脉全凭借的是多年的经验的积累,存在主观上因数素,有时候很容易出现失误。如果客观的对人体的脉搏信号进行采集处理,最后送到上位机进行分析,研究就可以尽可能减少人为判断上的主观失误,从而为医学上病理的诊断提供更安全可靠地依据。 1.1 课题提出的意义 脉搏是人体生理参数中重要非常重要的参数之一,它包含了人体丰富的病理和生理信息,具有十分重要的生理和临床诊断参考价值。但脉搏信号是一种含有很强噪声的低频微弱信号,含有随机性强、频率低等特点,极易受到检测系统内部噪声和外界刺激(环境、温度)的于扰,必须对检测到的脉搏信号做一系列的处理,如滤波、放大,才可获取高精确度,不失真的脉搏信息,从而为医学分析研究提供准确、有效的脉搏数据源口。当代以来,随着电子技术和计算机技术的发展。人们能够将人体脉搏信号提取出来,直观地显示在各种显示器上。特别是人体脉搏测量仪的出现.大大地推动了医学的发展,为人类的健康做出了巨大贡献。人们通过观察和分析人体脉搏波形,能够更快更精确地诊断各种病症。当前。虽然人们已经制造出了各种各样的脉搏测量仪,但人们对脉搏测量仪的进一步研究依然在火热进行中,我认为设计一个,简单、实用、准确的脉搏信号采集系统十分必要,也具有很强的实用意义。本论文设计的人体脉搏信号提取系统是参考国内外先进的信号采集系统的基础上,进行进一步开发,优化得到的脉搏信号提取系统,具有很强的实用性。 1.2 课题所要达到的指标 本课题所要达到的指标为: (1)对脉搏传感器输出的信号通过信号调理电路对脉搏信号进行滤波、放大,提升的处理以便得到干净的信号。 (2)把经过信号调理电路处理的信号进行模数转换,为上传到上位机做准备。 (3)实现采集装置与上位机之间的数据传送。

相关文档
最新文档