电梯控制电路设计..

电梯控制电路设计..
电梯控制电路设计..

电子技术

课程设计

成绩评定表

设计课题:电梯控制电路设计

学院名称:

专业班级:

学生姓名:

学号:

指导教师:

设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术

课程设计

课程设计名称:电梯控制电路设计

专业班级:

学生姓名:

学号:

指导教师:

课程设计地点:

课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录

一.课程设计目的 (5)

二.课程设计的内容及要求 (5)

2.1课程设计内容 (5)

2.2课程设计要求 (5)

三.正文部分 (7)

3.1按键控制模块 (7)

3.1.1目标楼层号按钮编码电路..................................... (7)

3.1.2比较制动电路 (10)

3.1.3与逻辑起动控制............................................................................................. .11

3.2数码管显示模块 (12)

3.2.1显示译码器组成电路如下 (12)

3.2.2 CD4510(可逆计数器)组成电路 (16)

3.3脉冲发生器模块 (17)

3.3.1、0.2S脉冲发生器 (17)

3.3.2、6S脉冲发生器 (18)

3.4、LED滚动显示模块 (19)

3.4.1、LED显示电路译码器 (19)

3.4.2三位二进制可逆计数器 (20)

3.4.3、LED显示器 (22)

3.5原理图 (23)

四.设计总结 (23)

附录1.整体电路图 (24)

电梯控制电路设计

一、课程设计目的:

通过本课程设计,使学生加强对电子技术课程内容的理解和掌握,学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力。

二、课程设计的内容及要求:

2.1课程设计内容:

设计集中控制电梯的控制、信号显示电路

2.2课程设计要求:

1、基本技术要求:

(1)设计模拟位移传感器信号的脉冲发生器,脉冲周期为6秒;

(2)设计由模拟位移传感器信号的脉冲发生器驱动的楼层号数码管显示电路,设置楼层号0~7号(0号为地下室);

(3)设计LED滚动箭头方向显示电路;

(4)设计电梯集中控制电路,要求具有以下功能:

①采用起动键和楼层号键实现起动控制(两种键均按下时电梯起动);

②电梯起动后LED滚动箭头方向显示电路开始按图5(A)或图5(B)从起始状态工作,循环周期为1.6秒(每个图案显示0.2秒)。当电梯停止时,要求复位起始状态;

③电梯运行时,楼层号数码管显示电路在电梯到达某层时(对应模拟位移传感器信号的脉冲发生器输出的下降沿)显示该层楼号;④电梯运行至目标楼层时,电梯自动停止;

图5(A)上行方向循环显示

图5(B)下行方向循环显示

图6 参考电路原理框图

三、正文部分

3.1按键控制模块

3.1.1目标楼层号按钮编码电路

器件组成:74148(8—3编码器),八个限流电阻,八个开关。

74148是一个八线-三线优先级编码器。

如图所示的是八线-三线编码器74148的惯用符号及管脚图引脚图。

74148优先编码器为16脚的集成芯片,除电源脚VCC(16)和GND(8)外,其余输入、输出脚的作用和脚号如图中所标。其中I0—I7为输入信号,A2,A1,A0为三位二进制编码输出信号,IE是使能输入端,OE是使能输出端,GS为片优先编码输出端。

由74148真值表可列输出逻辑方程为

A2 = (I4+I5+I6+I7)IE

A1 = (I2I4I5+I3I4I5+I6+7)·IE

A0 = (I1I2I4I6+I3I4I6+I5I6+I7)·IE

使能输出端OE的逻辑方程为:

OE =I0·I1·I2·I3·I4·I5·67·IE

当使能输入IE=1时,禁止编码、输出(反码):A2,A1,A0为全1(如表第一行所示)。

当使能输入IE=0时,允许编码,在I0~I7输入中,输入I7优先级最高,其余依次为:I6,I5,I4,I3,I2,I0,I0等级排列。

OE为使能输出端,它只在允许编码(IE=0),而本片又没有编码输入时为0(如表中第二行所示)。

扩展片优先编码输出端GS的逻辑方程为:

GS = (I0+I1+I2+I3+I4+I5+I6+I7)·IE

GS为片优先编码输出端,作为与逻辑起动控制的一个输入控制信号,它在允许编码(IE=0),且有编码输入信号时为0(如表中第三至第十行);若允许编码而无编码输入信号时为1(如表第二行);在不允许编码(IE=1)时,它也为1(如表第一行)。

GS = 0表示“电路工作,而且有编码输入”

功能表如下(74148优先编码器真值表):

3.1.2比较制动电路

器件组成:CD4585

功能说明:CD4585为四位数值比较器,输入端十号接A0,七号接A1,二号接A2,十五号接A3。A0、A1、A2、A3分别接八进制可逆计数器的输出端。输入端十一号接B0,九号接B1,一号接B2,十四号接B3。B0、B1、B2分别接编码器的输出端,B3接地。A与B进行比较,输出端F(A>B)作为与三位二进制可逆计数器中的异或门控制输入端,F(A=B)通过与非门与上述起动控制中的与门相连作为制动信号。当A=B时使八进制可逆计数器停止计数并保持。脉冲发生器的清零端有效,停止产生脉冲并保持。三位可逆计数器复位。输出端(A>B)(AB,A=B接高电平。

电路图如下:

补充说明:CD4585是四位数字比较器,可比较他的两个输入,比较后在A>B,A=B,A

3.1.3与逻辑起动控制

器件组成:CD4073(三3与门)、CD4069(六反相器)、开关、限流电阻。

功能说明:按钮起动控制、编码电路输出标示GS有效与比较制动电路A=B 不成立,三者均满足时,与逻辑起动控制输出为高电平,控制D触发器构成的三位二进制可逆计数器的清零端,和555定时器组成的0.2S和6S周期脉冲发生器的清零端。

当此与逻辑起动控制的输出为高电平时,脉冲发生器产生正常脉冲,三位二进制可逆计数器正常计数。

当此与逻辑起动控制的输出为高电平时,脉冲发生器产生正常脉冲,三位二进制可逆计数器正常计数。

当此与逻辑起动控制的输出为低电平时,脉冲发生器停止产生脉冲,并保持,

三位二进制可逆计数器停止计数,并保持。

开关闭合为高电平有效。

电路图如下:

3.2数码管显示模块

3.2.1显示译码器组成电路如下:

组成器件:CD4511显示译码器、LED(数码管)显示器

CD4511是一个用于驱动共阴极LED(数码管)显示器的BCD码——七段码译码器,特点是:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS

电路能提供较大的拉电流。可直接驱动LED显示器。

CD4511芯片图及引脚如下:

图3-2CD4511的引脚图

CD4511引脚功能:

BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态是怎么样的,七段数码管都会处于消隐也就是不显示的状态。

LE:锁定控制端,当LE=0时,允许译码输出。LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

LT:3脚是测试信号的输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮全部显示。它主要用来检测数7段码管是否有物理损坏。

A1、A2、A3、A4、为8421BCD码输入端。

a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。CD4511的里面有上拉电阻,可直接或者接一个电阻与七段数码管接口。

CD4511具有锁存、译码、消隐功能,通常以反向器作输出级,通常用以驱动LED。

其引脚图如上图所示。

各引脚名称:其中7、1、2、6分别表示A、B、C、D。5、4、3分别表示LE、BI、LT。

13、12、11、10、9、15、14分别表示a、b、c、d、e、f、g。左边引脚表示输入,右边引脚表示输出,还有两个引脚8、16分别表示的是接地和VDD.

CD4511的工作原理

1.CD4511的真值表如下表所示

2. 译码器:CD4511译码器用两级或非门担任,为了简化电路先用二输入端与非门对输入数据B、C进行组合,得出00、01、10、11(用二进制对B、C编码)四项,然后将输入的数据A、D一起用或非门译码。

3.锁存功能:译码器的锁存电路由传输门和反向器组成,传输门的导通或截止由控制端LE的电平状态。

当LE为低电平导通时,TG2截止;当LE为高电平时,TG1截止,TG2导通,此时有锁存作用。

4.消隐:BI为消隐功能端,该端施加低电平后,迫使七段数码管均处于熄灭状态,不显示数字,即字形消隐。

消隐输出J=(B+C)D+BI。如不考虑消隐BI项,便得J=(B+C)D。根据上式,当输入BCD代码从1010——1111时,J端都为高电平,从而使显示器中的字形消隐。

CD4511的真值表

3.2.2 CD4510(可逆计数器)组成电路

器件组成:CD4510(可逆计数器)

功能说明:CD4510器件的1号接地,15号接CP端,9号接地。10号UP/DOWN 接F(A

CD4510为可预置BCD可逆计数器,该器件主要尤四位具有同步时钟的D型触发器(具有选通结构,提供T型触发器功能)构成。具有可预置数、加减计数器和多片级联使用等功能。CD4510具有复位CR,置数控制LD、并行数据D0—D3、加减控制U/D、时钟CP和进位CI等输入。CR为高电平时,计数器清零。当LD 为高电平时,D0—D3上的数据置入计数器中,CI控制计数器的计数操作,CI=0时,允许计数。此时,若U/D为高电平,在CP时钟上升沿计数器加1计数;反之,在CP时钟上升沿减1计数。除了四个Q输出外,还有一个进位/错位输出CO/BO。

电路图如下:

功能表如下:

3.3脉冲发生器模块

3.3.1、0.2S脉冲发生器

器件组成:NE555定时器,电阻,电容。

功能说明:根据NE555定时器构成多谐振荡器,使其周期为0.2S,周期公式为:T=(R1+2R2)*C/1.43,R1=10K,R2=10K,C=10UF。

1与5之间的0.015UF电容为抗干扰电容。清零端和与逻辑起动控制输出端即CD4073的输出相连,可实现清零。只有当按钮起动控制、编码电路输出标识GS有效与比较控制电路A=B不成立三者均满足时,与逻辑起动控制的输出为高电平,清零端无效,脉冲发生器产生正常脉冲;

当上述三者只要有一个不成立时,与逻辑起动控制的输出为低电平,清零端有效,脉冲发生器停止产生脉冲,并保持。

电路图如下:

0.2s脉冲发生器

555定时器功能表:

3.3.2、6S脉冲发生器

器件组成:NE555定时器,电阻电容。

功能说明:根据NE555定时器构成多谐振荡器,使其周期为6S,周期公式为:T=(R1+2R2)*C/1.43,R1=82K,R2=390K,C=10UF。1与5之间的0.015UF 电容为抗干扰电容。清零端和与逻辑起动控制输出端即CD4073的输出相连,可

实现清零。只有当按钮起动控制、编码电路输出标识GS有效与比较控制电路A=B 不成立三者均满足时,与逻辑起动控制的输出为高电平,脉冲发生器产生正常脉冲;当上述三者只要有一个不成立时,与逻辑起动控制的输出为低电平,清零端有效,脉冲发生器停止产生脉冲,并保持。

电路图如下:

3.4、LED滚动显示模块

3.4.1、LED显示电路译码器

LED显示电路译码器功能概述:

根据真值表化简得如上逻辑表达式,通过门电路实现此译码器的功能。

此译码器由五个两输入与门(4081),一个三输入与门,三个三输入或门组成。地址端为三位二进制可逆计数器的输出,通过此门电路组成的译码器驱动LED显示器,通过可逆计数实现上行方向和下行方向的循环显示。

其真值表为:

电路图如下所示:

门电路组成的LED显示电路译码器3.4.2三位二进制可逆计数器

电梯控制电路设计

- 电子技术课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录

一.课程设计目的 (5) 二.课程设计的容及要求 (5) 2.1课程设计容 (5) 2.2课程设计要求 (5) 三.正文部分 (7) 3.1按键控制模块................................................................................................... (7) 3.1.1目标楼层号按钮编码电路................................................. (7) 3.1.2比较制动电 路........................................................................................... (10) 3.1.3与逻辑起动控 制........................................................................................... .. .11 3.2数码管显示模块 (12) 3.2.1显示译码器组成电路如下 (12) 3.2.2 CD4510(可逆计数器)组成电路 (16) 3.3脉冲发生器模块 (17) 3.3.1、0.2S脉冲发生器 (17) 3.3.2、6S脉冲发生器 (18) 3.4、LED滚动显示模块 (19)

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

电梯的电气控制系统设计与实现(通用版)

Safety is the goal, prevention is the means, and achieving or realizing the goal of safety is the basic connotation of safety prevention. (安全管理) 单位:___________________ 姓名:___________________ 日期:___________________ 电梯的电气控制系统设计与实现 (通用版)

电梯的电气控制系统设计与实现(通用版)导语:做好准备和保护,以应付攻击或者避免受害,从而使被保护对象处于没有危险、不受侵害、不出现事故的安全状态。显而易见,安全是目的,防范是手段,通过防范的手段达到或实现安全的目的,就是安全防范的基本内涵。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发周期短,对机械零部件和电器元件都采取了很大的安全系数和保险系数。电梯的控制是相对比较复杂的,PLC可编程控制器把机械与电气部件有机地结合在一个设备内,把仪表、电子和计算机的功能综合在一起,使得

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

三层电梯控制电路(word文档良心出品)

三层电梯控制电路设计 .设计要求 每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请 设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。 电梯 每秒升(降)一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒 后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留 在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保 留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制 器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示 器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。 分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。 由于分控制器相对简单 很多,所以主控制器是核心部分。 1. 求开 关。 2. 3. 4. 只响应比电梯所在位置高的上 如果咼层有 VHDL 语言 ,通过对三层 图1.电梯控制器原理图

最新四层电梯的自动控制系统及模拟系统设计

四层电梯的自动控制系统及模拟系统设计

陕西国防工业职业技术学院 SHAANXI INSTITUTE OF TECHNOLOGY 毕业设计说明书 题目四层电梯的自动控制系统及模拟系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段

保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

四层电梯控制系统设计-

四层电梯控制系统设计-

————————————————————————————————作者:————————————————————————————————日期:

电气与电子信息学院 课程设计说明书 课程名称:电气控制技术与PLC课程设计题目:四层电梯控制系统设计 专业:电气工程及其自动化

年级:2014 学生: 学号: 指导教师: 完成日期:2018年 1 月 5 日 四层电梯控制系统设计 摘要:本设计采用FX2设计了四层电梯的控制系统,详细进行了参数计算,空气开关、接触器等诸多电器的选型,对主电路、控制回路进行了接线与保护。 控制PLC系统FX2N由于体积小,重量轻,能耗低,运行可靠性高,抗干扰能力强,使用维修方便,系统的设计、安装、调试工作量小,容易改造,设计和调试周期较短等优点被我们选择,在控制过程分析基础之上采用或顺序控制法编写了梯形图程序,程序调试通过,实现了控制要求。最终在易控组态的的开发环境上我们模拟成功了四层电梯的控制。 关键词:PLC ,四层电梯, FX2N

目录 1前言.................................................. 错误!未定义书签。2总体方案设计 .......................................... 错误!未定义书签。 2.1 方案1.............................................. 错误!未定义书签。 2.2 方案2 (2) 2.3 方案选择............................................ 错误!未定义书签。3硬件设计.. (3) 3.1电梯简介 (3) 3.1.1 电梯的发展简史 (3) 3.1.2 电梯系统的基本结构 ....................................... 错误!未定义书签。 3.1.3电梯控制系统的组成 (5) 3.2硬件选择 (5) 3.3三菱FX2N型PLC (6) 3.3.1 基本介绍 (6) 3.3.2 基本指令系统特点 (7) 3.3.3 FX2N产品的编程原件及其功能 (7) 3.4主电路图与接线图 (10) 3.4.1 主电路图 (10) 3.4.2 电梯控制信号原理 (11) 3.4.3 I/O分配表 (12) 3.4.4 PLC端口接线图 (13) 3.5控制面板设计 (14) 4软件设计 (15)

(完整版)基于单片机的双电梯控制系统的设计本科毕业设计

本科毕业论文(设计) 题目:基于单片机的双电梯控制 系统的设计

目录 摘要 (3) 关键词: (3) ABSTRACT (4) KEYWORDS (4) 引言 (4) 1. 总体方案设计与论证 (5) 1.1 双电梯控制模型(五层),示意图如下图所示。 (5) 1.2 控制器选择 (6) 1.3 动力选择 (6) 1.4并联电梯的调度原则 (7) 2.硬件电路设计 (8) 2.1 整体电路设计 (8) 2.2 对电机的控制设计 (8) 2.3报警模块设计 (9) 2.4传感器模块设计 (10) 2.4.1重力传感器模块 (10) 2.4.2 光传感器模块 (12) 2.5 AD转换模块设计 (13) 2.6系统板(二)IO的分配 (14) 3. 软件设计 (15) 3.1整个系统程序设计思路(流程图) (15) 3.2 电机控制部分 (16) 3.2.1轿厢运行部分: (16)

3.2.2平层部分 (17) 3.3 显示及报警部分 (18) 3.3.1楼层显示 (18) 3.3.2电梯外部按键显示 (18) 3.3.3超重报警 (18) 3.3.4紧急报警 (19) 总结 (19) 参考文献 (20) 附录 (20) 谢辞 (27) 摘要 本系统采用两片单片机(89C52)作为内外招信号的检测和控制核 心。内外招使用按键按下与否带来的电平的改变来控制对应的单片机输 入或输出口工作。楼层检测使用光传感器;轿厢负载采用精密压力传感 器;速度控制采用易控的步进电机外加微小误差转子;在竖井的侧面固 定了轨道以防止轿厢的晃动。基于这些完备而可靠的硬件设计,使用了 一套独特的软件算法,实现了并联电梯的调度以及电机在运动和加速经 及减速过程的精确控制。 关键词:单片机;步进电机;AD转换;555定时器;施密特触发器; 传感器;C语言编程;流程图

最新数电组合逻辑电路设计

数电——组合逻辑电路设计 实现四位二进制无符号数乘法计算学号 姓名 专业通信工程 日期 2017.4.29

一、设计目的 设计一个乘法器,实现两个四位二进制数的乘法。两个二进制数分别是被乘数3210A A A A 和乘数3210B B B B 。被乘数和乘数这两个二进制数分别由高低电平给出。乘法运算的结果即乘积由两个数码管显示。其中显示低位的数码管是十进制的;显示高位的数码管是二进制的,每位高位片的示数都要乘以16再与低位片相加。所得的和即是被乘数和乘数的乘积。做到保持乘积、输出乘积,即认为实验成功,结束运算。 二、设计思路 将乘法运算分解为加法运算。被乘数循环相加,循环的次数是乘数。加法运算利用双四位二进制加法器74LS283实现,循环次数的控制利用计数器74LS161、数码74LS85比较器实现。运算结果的显示有数码管完成,显示数字的高位(进位信号)由计数器74LS161控制。 以54 为例。被乘数3210A A A A 是5,输入0101;乘数3210B B B B 是4,输入0100.将3210A A A A 输入到加法器的A 端,与B 端的二进制数相加,输出的和被送入74LS161的置数端(把这个计数器成为“置数器”)。当时钟来临,另一个74LS161(被称之为“计数器”)计1,“置数器”置数,返回到加法器的B 端,再与被乘数3210A A A A 相加……当循环相加到第四个时钟的时候,“计数器”计4,这个4在数码比较器74LS85上与乘数3210B B B B 比较,结果是相等,A=B 端输出1,经过反相器后变为0返回到被乘数输入电路,截断与门。至此,被乘数变为0000,即便是再循环相加,和也不变。这个和,是多次循环相加的和,就是乘积。高位显示电路较为独立,当加法器产生了进位信号,CA 端输出了一个高电平脉冲,经过非门变为下

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

电梯自动控制系统的设计

电梯控制系统模型 本论文主要介绍的是电梯自动控制模型,硬件部分我们使用的是单片机及外围电路组成高度为四层楼的电梯控制系统。单片机采用AT89C51,晶体振荡器选6MHz,C51、C52为30uF瓷片电容与晶体振荡器形成时钟电路。电容C53、电阻R51、R52和按键RESET构成上电复位和手动复位电路。软件部分采用了两种控制方案,简易控制方案只是简单的电梯上升下降,在各楼层短暂停留。而进一步控制方案则考虑各楼层的信号请求,以完成各楼层的升降控制。该系统具有工作稳定,操作简单等优点。 第一章引言 据国外有关资料介绍,公元前2800年在古代埃及,为了建筑当时的金字塔,曾使用过由人力驱动的升降机械。公元1765年瓦特发明了蒸汽机之后,1858年美国研制出以蒸汽为动力,并通过皮带转动和蜗轮减速装置驱动的电梯。1878年英国的阿姆斯特郎发明了水压梯。并随着水压梯的发展,淘汰了蒸汽梯。后来又出现了采用液压泵和控制阀以及直接柱塞式和侧柱塞式结构的液压梯,这种掖压梯至今仍为人们所采用。但是,电梯得以兴盛发展的原因在于采用了电力作为动力来源.。在20世纪初,美国奥梯斯电梯公司首先使用直流电动机作为动力,生产出以槽轮式驱动的直流电梯,从而为今天的高速度,高行程电梯的发展奠定了基础。20世纪30年代美国纽约市的102层摩天大楼建成,美国奥梯斯电梯公司为这座大楼制造和安装了74台速度为6.0M\S的电梯。从此以后,电梯这个产品,一直在日新月异的发展着.目前电梯产品,不但规格品种多,自动化强,而且安全可靠,乘坐舒服.近几年来,随着电子工业的发展,微处理机和电子计算机已成功的应用到电梯的电气控制系统中去,采用无触点元件的电梯电气控制系统已开始批量生产。 第二章设计要求 采用AT89C51单片机及外围电路组成高度为四层楼的电梯控制系统。 电梯内电路由FS1、FS2、FS3和FS4四个发光二极管作为指示灯,电梯模型上电后,电梯的起始位置为一楼,等待控制台Start按键按下,数码管显示“1”。当Start按键按下后,电梯开始向上运动,控制台的上升指示灯UP亮。2s后到达二楼,数码管显示“2”并在二楼停留5s,然后继续上升。每层楼停留5s,直到四楼。在四楼停留5s后开始下降,控制台的指示灯DOWN亮。每层楼停5s,直到一楼。然后重复上述过程。如果在一个上下循环中按下过Stop键,电梯下降到一楼后停止工作。直到再次按下Start键后重新恢复工作

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

基于单片机的双电梯控制系统的设计

目录 摘要 (2) 关键词: (3) ABSTRACT (3) KEYWORDS (4) 引言 (5) 1. 总体方案设计与论证 (5) 1.1 双电梯控制模型(五层),示意图如下图所示。 (6) 1.2 控制器选择 (6) 1.3 动力选择 (6) 1.4并联电梯的调度原则 (7) 2.硬件电路设计 (7) 2.1 整体电路设计 (8) 2.2 对电机的控制设计 (8) 2.3报警模块设计 (9) 2.4传感器模块设计 (10) 2.4.1重力传感器模块 (10) 2.4.2 光传感器模块 (12) 2.5 A/D转换模块设计 (12) 2.6系统板(二)I/O的分配 (13) 3. 软件设计 (14) 3.1整个系统程序设计思路(流程图) (14) 3.2 电机控制部分 (15) 3.2.1轿厢运行部分: (15) 3.2.2平层部分 (15) 3.3 显示及报警部分 (16) 3.3.1楼层显示 (16) 3.3.2电梯外部按键显示 (16) 3.3.3超重报警 (16)

3.3.4紧急报警 (17) 总结 (17) 参考文献 (18) 附录 (19) 谢辞 (25) 摘要

本系统采用两片单片机(89C52)作为内外招信号的检测和控制核心。内外招使用按键按下与否带来的电平的改变来控制对应的单片机输入或输出口工作。楼层检测使用光传感器;轿厢负载采用精密压力传感器;速度控制采用易控的步进电机外加微小误差转子;在竖井的侧面固定了轨道以防止轿厢的晃动。基于这些完备而可靠的硬件设计,使用了一套独特的软件算法,实现了并联电梯的调度以及电机在运动和加速经及减速过程的精确控制。 关键词:单片机;步进电机;A/D转换;555定时器;施密特触发器;传感器;C语言编程;流程图 ABSTRACT Inside and outside this system uses two piece of monolithic integrated circuits

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

相关文档
最新文档