plcs7-300红绿灯交通灯程序设计报告书0001

plcs7-300红绿灯交通灯程序设计报告书0001
plcs7-300红绿灯交通灯程序设计报告书0001

上海理工大学

课程设计报告书

题目:plc 课程设计

系名:电气工程及其自动化专业班级:

姓名:

学号:

指导教师:

2013 年7 月 1 日

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:十字路口交通灯的控制

一.初始条件

1. 给定交通灯控制的时序逻辑和工作模式;

2. 给出用于系统调试用的S7-300PLC、计算机及交通灯模型;

3. 给出PLC系统的编程软件。

二.要求完成的主要任务

1. 设计要求

⑴ 根据课程设计指导书的交通灯控制所要求的时序逻辑,要求用线性化编程和结构化编程两种编程方法来实现该控制逻辑。

⑵ 在结构化编程方法中,以某一方向的红灯和另一方向的绿灯和黄灯为控制对象编制FC1,

OB1 中调用FC1。并且东西向和南北向灯只能调用同一个FC1。

2. 设计报告撰写要求

内容要求

一般要求包括如下内容:

⑴ 目录

编制课程设计的目录,目录的各级标题按照章节顺序排列,最多列到三级标题即可,如1.1.1。⑵ 引言课程设计正文前的简短介绍。包括本课题的设计目的、设计的主要过程及主要的设计内容。

⑶ 电路设计

要求画出PLC的输入/ 输出接线图。

⑷ PLC硬件组态要求列出硬件组态表。

⑸ PLC编程元件的地址分配

首先对输入/ 输出点进行地址分配,然后对其他编程元件也进行地址分配,如位存储器M,

定时器T,计数器C 等。

编程中要使用符号地址,所以在OB1 中要编辑符号表(包括输入继电器、输出继电器、定时器及位存储器(或叫辅助继电器),在FC1 中要编辑变量声明表。

⑹ 编写控制程序

要求:① 编写线性化程序;

② 在结构化编程中分别编制OB1 和FC1;

③ 在程序段中添加注释。

⑺ 程序调试说明

对设计中遇到的主要问题及解决方法、调试过程及方法、在调试过程中对对原设计程序做了哪些有意义的改进及调试的结果几方面进行阐述。

⑻ 结束语对本课程设计进行总结,写出设计中的体会。

⑼ 主要参考文献写出完成设计任务过程中参考的主要参考文献,注意参考文献的格式。

格式要求

1. 纸张格式:要求统一用A4 纸打印,页面设置上空

2.5cm,下空2.0cm ,左空2.5cm,右空

2.0cm ):

2. 正文层次:正文内容层次序号为:1、、1.1.1 ?,?其中

⑴.正文标题;一级标题1.(黑体小2 号加粗),二级标题(黑体小三号),三极标题1.1.1(黑体小四号)。

⑵.正文内容格式:宋体五号,倍行距。

3. 参考文献格式:参考文献不少于5 个,并应按文献号、作者、文献题名、出版地:出版社和出版年等顺序书写。如:[1] 戴军,袁惠新.膜技术在含油废水处理中的应用.膜科学与技术,2002.

4. 图表要求:所有曲线、图表、线路图、流程图、程序框图、示意图等不准徒手画,必须采用计算机辅助绘图。图序及图名置于图的下方;表序及表名置于表的上方;图表一律采用采用阿拉伯数字连续编号。

装订顺序

设计报告按照如下顺序装订:封面—任务书—目录—正文—参考文献—评分表。

课题二:十字路口交通灯的控制

2.2.1 设计目的

⑴ 综合应用所学PLC 知识设计一个交通灯控制系统;

⑵ 通过自行编程调试掌握PLC控制系统的设计方法。

2.2.2 任务描述:

某十字路口的东西方向和南北方向分别安装红、绿、黄交通信号灯,设置如下图所示:

图十字路口交通灯设置示意图

2.2.3 控制要求:

交通信号灯在白天和夜晚的工作方式不同,由选择开关SA 进行控制。

⑴ 白天工作模式:

具体控制要求为:当SA 选在白天位置时,信号灯按照预先规定的时序循环往复地工作,具

表中绿灯闪烁的频率为,亮灭循环。

图交通信号灯白天工作时的时序图

⑵ 夜晚工作模式:

当SA 选择夜晚工作模式时,红灯和绿灯停止工作,只有黄灯一直闪烁,闪烁的频率为(亮500MS 灭500MS

循环)。

2.2.4 程序设计方案要求:

⑴ 要求用线性化编程和结构化编程两种编程方法来实现。

⑵ 在结构化编程中,以某一方向的红灯和另一方向的绿灯和黄灯为控制对象编制中调用FC1。并且东西向和南北向灯只能调用同一个FC1。

2.2.5 课程设计报告的主要内容:

⑴目录编制课程设计的目录,目录的各级标题按照章节顺序排列,列到三级标题即可。

⑵ 引言课程设计正文前的简短介绍。包括本课题的设计目的、设计的主要过程及主要的设计内容。

⑶ 电路设计

要求画出PLC的输入/ 输出接线图。

⑷ PLC硬件组态要求列出硬件组态表。

⑸ PLC编程元件的地址分配

首先对输入/ 输出点进行地址分配,然后对其他编程元件也进行地址分配,如位存储器定时器T,计数器C 等。

编程中要使用符号地址,所以在OB1 中要编辑符号表(包括输入继电器、输出继电器、时器及位存储器(或叫辅助继电器),在FC1 中要编辑变量声明表。

⑹ 编写控制程序

要求

①编写线性化程序;

②在结构化编程中分别编制OB1 和FC1;

1HZ ,OB1

M,

③在程序段中添加注释。

⑺ 程序调试说明

对设计中遇到的主要问题及解决方法、调试过程及方法、在调试过程中对对原设计程序做了哪些有意义的改进及调试的结果几方面进行阐述。

⑻ 结束语对本课程设计进行总结,写出设计中的体会。

⑼ 主要参考文献写出完成设计任务过程中参考的主要参考文献,注意参考文献的格式。

引言

据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要

等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。

智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,

我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下: 在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。

比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

硬件组态与硬件连接图

硬件组态

硬件连接

PLC编程元件的地址分配

3

编写控制程序

程序段?3:东西绿灯闪三秒

I [

夕东西绿灯

闪三秒"

"东西绿灯

程序段?4 :东西绿灯闪烁3秒

■东西绿灯 闪3秒标志"

"内部LHZ 豚

"东西绿灯 闪烁3秒标

志"

程序段;东西绿灯

亮25秒标志

―I F-

"东西绿灯 闪烁3秒标 志"

―I I —

程序段76 :东西黄灯亮2秒

I 休酋黄灯

"东西黄灯

"东西黄灯 点亮开关&

点亮2秒叔

亮2秒标志〃

r^^j 1

1/1

——()_I

"东西黄灯 "南北黄灯 点亮2秒"

定时2秒"

S ODT

—/1——

S Q —

ShT#2S- T V

BI —???

“置位定时

BCD

—? ? ?

器标志"- R

程序段27 ;东西黄灯

注释:

°夜间东西 黄灯闪烁标

—I I-

°东西黄灯 亮2秒标志"

々东西绿灯"

—{)_I

〃东西黄灯〃

—()_I

东西红灯贏30秒,南北绿

灯蛊25秒

汪释;

"东西红灯" 。东西

黄灯

“东西

红灯

点戟秒

" 爲

30秒"

—11

程序段?9 :控制南北绿灯闪烁

"南井绿灯 闪3秒扮通 ^?E1HZ 脉

开片

冲"

"南北绿亮2

5秒

rwSI

"南北绿灯 闪3秒的标

志”

—0_I

倆北绿灯 闪3秒定时 器”

程序段210 ;南北绿灯闪烁

"南北绿灯壳的标志" —I H 倆北绿灯闪渤

的标志,

—I 1

程序段?13 :南北黄灯

注释,

"夜「可南北

黄灯闵烁标

志。

"南北黄灯亮2秒标志〃—

I 1

程序段?11 :甫北绿灯亮

程序段?12 :南北黄灯亮2秒

俑北黃灯"南北黃灯

开启开关,定时2秒^ —I

Il√÷

倆北黃灯亮2

秒标志" —O_I

S

S ODT Q

S5Tff2S-T V Bl

重位定时

器标志★- R

BCD

〃南北黃灯

定时谢" 〃置位定时

器标志’

—fl

"南北绿灯^

T)—

〃南北黄灯"

—<)_I

项目设计结果分析

(分析试验过程中获得的数据、波形、现象或问题的正确性和必然性,分析产生不正确结果的原因和处理方法)

程序运行分析

交通灯分为夜间和白天2 中模式。

1:白天模式:控制白天工作模式。首先东西方向绿灯亮25 秒,同时南北方向红灯亮30 秒,

东西方向绿灯闪烁3 秒,黄灯亮2S。随后东西方向红灯亮30 秒,同时南北方向绿灯亮25 秒,随后绿灯闪烁3 秒,黄灯亮2 秒。以此循环。

2:夜间模式:当选择开关SA 选择夜晚工作模式时,红灯和绿灯停止工作,只有黄灯一直闪烁,闪烁的频率为1HZ(亮500MS 灭500MS 循环)。

试验过程中产生的问题与解决方案

在编写plc 线性结构程序时产生了一开始只亮一边的红灯而另一边的绿灯不亮,经过指导老师的悉心指导与改正得以解决加入中间传递环节,只产生一个输出控制绿灯闪烁与亮的部分并联起来,一开始程序只能进行一个循环,不能再循环经过老师加入最后一个定时器的常闭开关后循环也可以进行

课程设计体会心得

通过这次的plc 课程设计,平时在学习中不能够透彻理解的知识,通过动手,有了更好的认知,经过老师的指导学到了一些实用的知识,开始的时候我自己就尝试独立来编写这样一个程序,一边编写一边看书,编写成功最后有很大的帮助来源老师,老师指导都很悉心,这个我非常感动。经过这次设计,我更加熟悉了几个定时器的应用区别,对程序要求的分析有了一些经验。此次课程设计也大大的加强了我的自己独立思考的能力。此次课程设计虽然不长,但是它给我们带来了很多收获,有很多东西仍然需要去学习。

PLC控制系统设计作了较为系统深入的讲解。

课程设计评分表

评分项目评分成绩

1.选题合理、目的明确(10 分)

2.设计方案正确,具有可行性、创新性(30 分)

3.项目工艺水平及测试性能达到技术要求(25 分)

4.参考文摘不少于5 篇(10 分)

5.答辩(25 分)

总分(100 分)答辩记录:

指导教师综合评语:

指导教师(签名)

日期:

交通灯程序设计

交通灯程序设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用开发板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。 图1 路口交通管理示意图 表1 交通信号灯的4种状态 二、程序清单: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY TrafficLight IS PORT ( CLK: IN std_logic; led7s: OUT std_logic_vector(3 downto 0); led7s1: OUT std_logic_vector(3 downto 0); R,Y,G,R1,Y1,G1: OUT std_logic); END;

ARCHITECTURE one OF TrafficLight IS TYPE dm IS (s0,s1,s2,s3); SIGNAL current_state,next_state:dm; SIGNAL FOUT: STD_LOGIC; SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL th :STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL time :STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN P1: PROCESS(CLK) -------秒脉冲发生器 VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK='1' THEN IF CNT8 = "01111111" THEN CNT8:="00000000"; FOUT<='1'; ELSE CNT8 := CNT8+1; FOUT <= '0'; END IF; END IF; END PROCESS P1; P2:PROCESS(FOUT) -------负责对秒脉冲进行计数

基于单片机交通灯课程设计

重庆三峡职业学院 课程设计 课题名称交通灯控制系统设计 交通灯控制系统设计 摘要:本系统由单片机最小系统、按键(开关)、LED显示等等组成交通灯演示系统。 系统用红、黄、绿三个发光二极管模拟交通灯的红灯、黄灯、绿灯的功能。系统除基本交通灯功能外,还具有倒计时(15秒)、时间设置、紧急情况(按键模拟传感器)处理等功能。 关键词:AT89C51,交通规则 弓I 言:随着日新月异的电子变革,电子产品发生了突飞猛进的巨变,而在其中AT89C51扮演着一个重要的角色,AT89C51单片机具有广泛性、工具性、基础性的几个特点。单片机应成为中等技术人员的重要技术知识层面。近年来,我国工程技术队伍的梯队建设有了很大的进展。各类高职、高专如雨后春笋,涉电专业普遍开设单片机类课程。直观性表现在尽可能让我们在学习基本原理时能直观地看到相关实物及实物表演,使基本原理能实现形象化的表达;实践性表现在我们要通过许多实际操作来理解与掌握单片机的本质与技能;综合性表现在最终能使我们达到运用知识与技能来完成一个应用系统开发的全过程,有助于大学生动手能力的培养和提高,课程设计就是一门应用性很强的课程。如何让我们在学好基础知识的同时,迅速掌握设计应用技术,其中,实验与课程设计环节起着非常重要的作用。对我们学习和掌握单片机设计技术起到积极的作用。

一、方案比较、设计与论证

(1)电源提供方案 为使模块稳定工作,须有可靠电源。我们考虑了两种电源方案 方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统线路变复杂,且可能影响系统各模块的电路电平。 方案二:采用干电池提供电源。该方案的优点是系统简明扼要,线路易于梳理,节约成本;缺点是输出功率不高。 综上所述,我们选择第二种方案。 (2)显示界面方案: 该系统要求完成数码管倒计时(15s)、状态灯发光二极管(红、黄、绿)的显示功能。基于上述原因,我们考虑了二种方案: 方案一:东西南北四个方向分别采用两位数码管显示倒计时。这种方案只显示有限的符号和数码字苻,并且制作PCB图时有许多的线相交,线路十分的复杂,不易制作原理图与PCB图,无法胜任题目要求。 方案二:东西南北四面各自采用红、黄、绿三个发光二极管显示,采用一个两位数码管显示倒计时,主要优点是易于调整元件在硬制板上摆放的位置,同时也易于PCB图的制作。 综上所述,我们选择方案二。 (3)输入方案: 题目要求系统能即时的处理外部紧急情况产生的中断,我们讨论了两种方案: 方案一:采用矩阵键盘。该方案的优点是: 可提供较多I/O 口,实现更多的外部中断。直接站单片机的接口少的特点,但操作起来稍显复杂,而且编程也趋于复杂。 方案二:直接在IO 口线上接上按键开关。因为设计时精简和优化了电路。 由于该系统对于交通灯等发光二极管的控制,只用单片机本身的I/O 口就可实现,只要一个开关来模拟一个外部的紧急中断,且本身的计数器及RAM E经够用,故选择方案二。 (4)系统方案: 本系统的硬件采用模块化设计,以单片机控制器为核心,与LED信号灯电路等组成单片机控制信号系统? 单 片 机

智能交通灯的毕业设计

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

交通灯程序设计

1 前言 用8255控制十字路口的交通灯每个方向有左拐、右拐、直行及行人4种通行指示灯,计时牌显示路口剩余时间,正常运行时间为60S。在特种车辆如119、120通过路口时,系统可自动转为特种车辆放行,其他车辆禁止通行的状态,15S后系统自动恢复正常管理。还可实现30s与60s通行管理转换等功能。此设计以单片机为控制核心,实现了硬件电路的设计、程序设计,并能够在PROTEUS平台实现仿真。 2 方案设计 2.1设计任务 (1)实现交通灯的循环显示 (2)显示正常情况下的红、黄、绿灯所亮的剩余时间和特殊情况下计时牌显示AA; (3)编写程序代码; (4)程序分析与调试。 2.2 工程方案 此方案克根据以下流程来实行:在WINDOWS2000/XP系统中操作,用C语言或汇编语言实现程序设计,画出交通灯的流程图,具体分析系统各个模块用相应函数调用的实现。再用 proteus电子设计软件来绘制电路原理图,然后Proteus仿真软件中进行编译仿真。最后进行仿真结果分析,以及此次课程设计总结。 3电路设计 3.1电路原理图

3.2 单元电路设计 8255输出信号与数码管的连接:

LED的显示原理:通过同名管脚上所加电平的高低来控制发光二极管是否点量而显示不同的字形如 SP,g,f,e,d,c,b,a 管角上加上7FH所以SP上为0伏,不亮其余为TTL高电平,全亮则显示为8. 采用共阴级连接: 其中PC0\PB0-a, PC1\PB1-b, PC2\PB2-c, PC3\PB3-d, PC4\PB4-e, PC5\PB5-f, PC6\PB6-g PC7\PB7 -SP接地 驱动代码表3.1.3

51单片机交通灯程序设计

单片机控制交通灯设计方案 一、单片机设计交通灯的设计要求: 状态一:南北绿灯亮,东西红灯亮,南北人行道绿灯,东西人行道红灯,南北左拐绿灯,东西左拐红灯。(时间为15S) 状态二:南北黄灯亮,东西红灯亮,南北人行道绿灯,东西人行道红灯,南北左拐绿灯,东西左拐红灯。(时间为5S) 状态三:南北红灯亮,东西绿灯亮,南北人行道红灯,东西人行道绿灯,南北左拐红灯,东西左拐绿灯。(时间为15S) 状态四:南北红灯亮,东西黄灯亮,南北人行道红灯,东西人行道绿灯,南北左拐红灯,东西左拐绿灯。(时间为5S) 二、设计原理分析 1、首先了解实际交通灯的变化情况和规律。假设一个十字路口如上图所以, 为东南西北走向。初始状态0:为东西绿灯亮,南北红灯亮;然后转状态1:东西绿灯亮黄灯亮,南北红灯亮黄灯亮;过后转状态2:东西红灯亮,南北绿灯亮;再转状态3:东西红灯亮黄灯亮,南北绿灯亮黄灯亮。一段时间后,又循环至状态0。中间可通过中断按钮产生中断,跳入中断程序执行中断。2、对于交通信号灯来说,应该有东西南北共四组灯,但由于同一道上的两组的信号灯的显示情况是相同的,所以只要用两组就行了,因此,采用单片机内部的I/O口上的P1口中的6个引脚即可来控制6个信号灯。 3、通过编写程序,实现对发光二极管的控制,来模拟交通信号灯的管理。每延时一段时间,灯的显示情况都会按交通灯的显示规律进行状态转换。 4、通过延时时间送显,可以在原有的交通信号灯系统的基础上,增添其倒计时间的显示功能,实现其功能的扩展。

5.通过脉冲中断编写中断程序,可实现中断。 三、设计的仿真图如下; 其接法为:P0接数码管的端选段, P1接数码管的位选端, P2接交通灯,接法如下: P20,P21,P22,分别接南北向的红黄绿灯, P23接南北左拐绿灯, P24,P25,P26,分别接东西向的红黄绿灯, P27接东西左拐红灯 东西人行到红绿灯和南北红绿灯接到一起 南北人行到红绿灯和东西红绿灯接到一起 四、AT89C51的KILL程序 #include "reg51.h" #define uchar unsigned char #define uint unsigned int uchar code wei[]={0x01,0x02,0x04,0x08}; //位码选择 uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};//段码选择 uchar table[4]={0,0,0,0};//四位为选端赋值区间

单片机十字路口交通灯设计报告含程序讲解

单片机实训报告 课程名称:单片机小系统设计与制作 系别:电子工程系 专业:移动通信技术 班级:11移动通信301班 学号: 学生姓名: 指导教师: 2012年12月17日

目录 1 概述 1.课题名称…………………………………………………………………………… 2.设计任务…………………………………………………………………………… 3.设计意义…………………………………………………………………………… 2 系统总体方案及硬件设计 1.系统方框图………………………………………………………………………2.工作原理…………………………………………………………………………3.电路原理图………………………………………………………………………4.单片机最小系统…………………………………………………………………5.时间显示电路……………………………………………………………………6.交通灯电路……………………………………………………………………… 3 软件设计 1.单片机交通控制系统总体设计………………………………………………… 2.系统流程图……………………………………………………………………… 3.系统仿真电路图………………………………………………………………… 4.仿真结果分析…………………………………………………………………… 4 系统软件程序的设计 1.程序主体设计流程............................................... 2.理论基础知识................................................... 3.子程序模块设计.................................................. 4.系统软件调试.................................................... 5.PROFESSIONAL仿真............................................... 6.集成开发环境KEIL ............................................... 5 系统总体原理图 6 系统程序 7 材料清单

单片机课程设计智能交通灯(完整版)

单片机课程设计报告 交通灯 这个是我亲自做过的保证能用!希望对大家有所帮助!但是不要照抄照 搬哦! 智能交通灯控制系统设计 摘要 近年来,随着我国国民经济的快速发展,我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后,交通拥挤和堵塞现象时常出现。如何利用当今计算机和自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,减少交通事故是很值得研究的一个课题。目前,国内的交通灯一般设在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯加上一个倒计时的显示器来控制行车。 关键词: AT89S51,交通规则,交通灯,车流量控制 1.设计目的: 1、通过交通信号灯控制系统的设计,掌握80C51传输数据的方法,以控制发光二极管的亮与灭以及数码管的显示; 2、用80C51作为输出口,控制十二个发光二极管熄灭,模拟交通灯管理. 3、通过单片机控制设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高动脑和动手的能力; 4、完成控制系统的硬件设计、软件设计、仿真调试。 2.设计内容和功能: 交通信号灯模拟控制系统设计利用单片机的定时器定时,令十字路口的红绿灯交替点亮和熄灭。用8051做输出口,控制十二个发光二极管燃灭,模拟交通灯管理。在一个交通十字路口有一条主干道(东西方向),一条从干道(南北方向),主干道的通行时间比从干道通行时间长,四个路口安装红,黄,绿,灯各一盏;

如图所示: 1、设计一个十字路口的交通灯控制电路,要求东西方向(主干道)车道和南北方向(从干道)车道两条交叉道路上的车辆交替运行,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯闪烁,才能变换运行车道 3、黄灯亮时,要求每秒闪亮一次。 4、紧急情况发生,如消防车、救护车等紧急车辆通过时,要求四个路口同时加亮黄灯闪烁,并且倒计时显示装置关闭,四个路口的信号灯全部变成红灯。 5当东西或南北方向车流量大时,四个路口同时加亮黄灯进行闪烁,并且倒计时显示装置关闭,黄灯闪烁5秒后,只允许东西或南北方向车辆通行。3.各功能模块说明及系统使用说明; 3.1总体设计电路如图所示 3.2交通灯电路 为了方便实验,可以用发光二极管作为交通灯来使用,单片机的I/O接口直接和交通灯(发光二极管)连接。在十字路口的四组红、黄、绿三色交通灯中,东西方向道路上的两组同色灯连接在一起,南北方向道路上的两组同色的灯也彼此连接在,受单片机P1.0~P1.5控制。单片机的I/O接口与交通灯电路的具体连接方式为:P1.0~P1.2分别接东西方向的红、黄、绿共6个放光二极管,P1.3~P1.5分别接南北方向的红、黄、绿共6个发光二极管。12个发光二极管采用了共阳极的连接方式,因此I/O口输出低电平时,与之相连的发光二极管会亮,I/O口输出高电平是,相应的发光二极管会灭。交通灯电路如图所示。

人行道交通灯设计说明

人行道按钮控制交通灯程序设计 引言 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。为了实现交通道路的管理,力求交通管理先进性、科学化。用可编程控制器实现交通灯管制的控制系统,以及该系统软、硬件设计方法,实验证明该系统实现简单、经济,能够有效地疏导交通,提高交通路口的通行能力。分析了现代城市交通控制与管理问题的现状,结合交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的PLC设计方案。可编程序控制器在工业自动化中的地位极为重要,广泛的应用于各个行业。随着科技的发展,可编程控制器的功能日益完善,加上小型化、价格低、可靠性高,在现代工业中的作用更加突出。 一、设计目的 进一步熟悉PLC的指令系统,重点是功能图的编程、定时器和计数器的应用;熟悉时序控制程序的设计和调试方法。 二、设计容 1、只考虑横道线交通灯的控制程序。 某人行横道设有红、绿两盏信号灯,一般是红灯亮,路边设有按钮SF,行人横穿街道时需按一下按钮。4S后红灯灭,绿灯亮,过5S后,绿灯闪烁4次(0.5s亮,0.5S灭)然后红灯又亮,时序如图1

所示。 从按下按钮后到一次红灯亮之前这一段时间按钮不起作用。根据时序要求设计出红灯、绿灯的控制电路。将设计的程序写入PLC,检查无误后运行程序。用I0.0对应的开关模拟按钮的操作,用Q0.0和Q0.1分别代替红灯和绿灯的变化情况,观察Q0.0和Q0.1的变化。 2、实际的交通信号灯控制程序。 交通信号灯示意图如图2所示。按下按钮SF1或SF2,交通灯将按图3所示的顺序变化,在按下启动按钮至公路交通灯由红变绿这段时间,在按按钮将不起作用。 三、设计要求 编写符合图1和图3要求的梯形图程序,根据上述的时序图,利用西门子S7-200编程软件画出人行道交通灯的PLC控制梯形图、公路交通灯的PLC控制梯形图以及PLC的I/O配置。写出相应的指令语句表。 四、 PLC的I/O分配 分析PLC的输入和输出信号,在满足控制要求的前提下,要尽量减少占用PLC的I/O点。由系统控制要求可见,由控制开关输入的启、停信号是输入信号。由PLC的输出信号控制各指示灯的亮、灭。由此可得系统I/O分配如表1所示:

单片机交通灯程序设计报告

单片机原理与接口技术 课程设计说明书 题目:交通灯程序设计 系(部): 专业(班级): 姓名: 学号: 指导教师: 起止日期: 单片机原理与接口技术课程设计任务书系(部): 专业: 指导教师:

院课程设计鉴定表 目录 1.引言-----------------------------------------------------------------1 2.交通设计原理----------------------------------------------------------1 2.1 设计任务-------------------------------------------------------------------1 2.2 方案介绍-------------------------------------------------------------------1 3.交通灯系统硬件设计----------------------------------------------------2 3.1单片机概述------------------------------------------------------------------2 3.2系统构成--------------------------------------------------------------------2 3.3芯片选择与介绍--------------------------------------------------------------3

基于单片机的十字路口交通灯设计(论文)

近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MCS-51系列单片机AT89C51为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P3口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过P1口输出,显示时间通过P0口输出至双位数码管)。本系统设计周期短、可靠性高、实用性强、操作简单、维护方便、扩展功能强。 关键词:单片机;交通灯 Abstract In recent years, with the rapid development of science and technology, the application of SCM is going deep, driving the traditional detection techniques to renew day by day. In the real-time examination and in the automatic control monolithic integrated circuit application system, the monolithic integrated circuit often took a core part uses. The monolithic integrated circuit aspect knowledge is only insufficient, but should also act according to the concrete hardware architecture software and hardware union, to be improved. The intersection vehicles shuttle, the pedestrian is bustling, car dealership traffic lane, person sidewalk, methodical. Then depending on what to realizes this orderly order? the traffic lights on the automatic control system. There are great number kinds of modes to control the traffic lights. The system uses a series of MCS-51 as the center AT89C51 single-chip device designed to control the traffic lights, so as to realize the function of setting red, green light time by 8051 chip’s P3 port according to the actual traffic flows, lighting the red-light and green-light by turn and lighting the yellow-light to warm while 5 seconds left(outputting the traffic light signal by P1,outpuing the time by P0 and showing the time on double-digits nixie tube). Short of the design cycle, high reliability, practical, simple operation, easy maintenance, the expansion of powerful is this system. Key words:SCM; MCU; traffic light

C51交通灯程序设计

C51期末课程设计大作业 课程名称:交通灯程序设计 学院:信息技术学院 系别:计算机应用系 专业:计算机应用技术 班级: Z090X 组序号:第二组 组员X X 学号 XXXXXXXX

一、功能要求 (1) 二、方案论证 (1) 三、硬件解读 (2) 四、流程图 (5) 五、软件设计 (8) 六、设计总结 (15)

一、功能要求 (一)设计所要完成的功能如下: 1、信号灯受一个起动开关控制,当起动开关接通时,信号系统开始工作,且先南北红灯亮,东西绿灯亮。当起动开关断开时,所有信号灯都熄灭。 2、南北红灯亮维持25S。在南北红灯亮的同时东西绿灯也亮,并维持20S。到20S时,东西绿灯闪烁,闪烁3S后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2S。到2S时,东西黄灯熄,东西红灯亮。同时,南北红灯熄灭,南北绿灯亮。东西红灯亮维持30S。南北绿灯亮维持25S。然后闪烁3S,熄灭。同时南北黄灯亮,维持2S后熄灭,这时南北红灯亮,东西绿灯亮 3、要有倒计时数码显示。 (二)设计要求: 1、功能要求 2、方案论证 3、系统硬件电路设计(给出键盘电路、LED显示电路) 4、系统程序设计(要求给出流程图和程序清单) 二、方案论证 (一)方案的选择和论证 根据题目要求,系统可以划分为几个基本模块: 1、时钟模块 2、时钟设置模块 3、显示译码模块 4、复位电路 对各模块的实现,分别有以下一些不同的设计方案: (1) 标准时基模块 方案一:采用直接从晶振分频得到12MHz信号。该方案比较容易实现,但精确度不高,很难达到题目精确度的要求。 方案二:采用单片机C51经过延时程序产生12MHz时基信号。在单片机程序设计中通过延时程序的循环产生所需要的12MHz的时基信号,该方案精确度比较高,而且也易于程序调整,电路结构简单,系统资源占用较小。 基于上述理论分析,拟订方案二。 (2)时钟控制模块 方案一:采用单片机对键盘扫描和读取来控制不同时间值。通过单片机对键盘的引脚不停的扫描,读入某时刻按下的键,通过单片机内部查表程序译出按键所对应的值从而使时间的值发生改变。该方案简单,常用,资源占用较小。 方案二:采用PLD芯片引脚锁定,通过开关的通和短控制PLD中计数器的值。该方案程序设计简单,但按键不直观不易使用,且用了PLD芯片而使成本过高。 基于上述理论分析,拟订方案一。 (3)显示模块

交通灯控制程序设计

实验三交通灯控制程序设计 1.实验目的和要求 完成交通灯控制器的设计,掌握状态机的使用。 2.实验内容或原理 在十字路口,每条道路各有一组红,黄,绿和倒计时显示器,用以指挥车辆和行人有序的通行。其中,红灯亮表示该道路禁止通行;黄灯亮表示停车;绿灯亮表示可以通行;倒计时显示器是用来显示允许通行或是禁止通行的时间。 根据交通灯的工作方式,设计的交通灯由两组红绿灯信号控制四组交通灯,其中处于同一个方向的交通灯共用一组红绿灯信号。显示顺序为:方向一为黄灯、红灯、绿灯,方向二为绿灯、黄灯,红灯。‘0’表示灯亮,‘1’表示灯熄。其中绿灯,黄灯,红灯的持续时间分别为25秒,5秒,20秒。系统框图如图2所示,系统包括分频模块及信号灯模块。分频模块对系统时钟进行秒分频,为信号灯提供秒输入信号;信号灯模块实现交通灯控制的逻辑功能。 3.交通灯控制器的设计方案 设东西方向和南北方向的车流量大致相同,因此红、绿、黄灯的时长也相同,定为红灯20秒,绿灯25秒,黄灯5秒,同时用数码管指示当前状态(红、绿、黄灯)剩余时间。 方案一:采用VHDL语言直接编写,实现交通灯指挥功能。 方案二:采用模块层次化设计,将此设计分为四个模块:计时模块,状态控制模块,信号灯显示模块,数码扫描显示模块。将四个模块再分别用VHDL语言编写成,做成原理图模块,用原理图输入法做整个设计的顶层文件。 4.交通灯原理分析 当SPC = '1'时,数码管停止计时,S输出为B"010010",即南北、东西方向指示灯示数维持不变。 当SPC=‘1’跳变到SPC=‘0’时,数码管继续计时,恢复正常工作状态。 当SPC = '0'时,交通即开始正常工作。R=‘1’时,进入初始状态S0="001010"经过20秒,变为S1="100010"再经过5秒,变为S2="010001",再经过20秒,变为S3="010100",再经过5秒,S变为B"001010"……如此循环下去。 南北、东西方向的红绿灯按表一表二变化。 表一交通灯状态转换表

交通灯控制器的设计与实现

交通灯控制器的设计与实现 一、实验目的 1.了解交通灯管理的基本工作原理。 2.熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及应用编程。 3.掌握多位LED显示的方法。 二、实验内容与要求 设计一个用于十字路口的交通灯控制器。 1.基本要求: 1)东西和南北方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为 25s,5s,20s。 2)当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结 束后,控制器恢复原来状态,正常工作。 3)一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。 2.提高部分: 1) 实时修改交通灯的持续时间。 2) 根据不同时段对主要交通方向的信号进行调整。 3) 可以使用LCD显示提示信息。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、总体设计 交通灯的工作过程如下:设十字路口的1、3为南,北方向,2、4为东西方向,初始态为4个路口的红灯全亮。之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车,2个路口的LED数码管开始倒计时25秒。延迟20秒后,1、3路口的绿灯熄灭,而1,3路口的黄灯开始闪烁(1HZ)。闪烁5次后,1、3路口的红灯亮,同时2、4路口的绿灯亮,2、4路口方向开始通车,2个路口的LED数码管重新开始倒计时25秒。延迟20秒时间后,2、4路口的绿灯熄灭,而黄灯开始闪烁。闪烁5次后,再切换到1、3路口方向。

之后,重复上述过程。当有紧急情况时,2个方向都红灯亮,倒计时停止,车辆禁止通行,当紧急情况结束后,控制器恢复以前的状态继续工作。 在设计中采用6个发光二极管来模拟2个路口的黄红绿灯,每个路口用2个数码管来显示通行或禁止剩余的时间。紧急情况用一个单脉冲发生单元申请中断来模拟,紧急情况结束后,再发一个中断来恢复以前的状态。 根据前面的介绍,本设计硬件由定时模块、发光二极管模块、数码管显示模块和紧急中断模块组成。定时模块采用硬件定时和软件定时相结合的方法,用8253定时/计数器定时100ms,再用软件计时实现所需的定时。发光二极管模块由8255控制发光二极管来实现。数码管显示模块由实验平台上的LED显示模块实现。紧急中断模块是由单脉冲发生单元和8279中断控制器组成。 程序主要是由定时子程序、发光二极管显示子程序、数码管显示子程序和中断服务程序组成。包括对8253、8255以及8259等可编程器件的编程。 五、硬件设计 本课题的设计可通过实验平台上的一些功能模块电路组成,由于各模块电路内部已经连接,用户在使用时只要设计模块间电路的连接,因此,硬件电路的设计及实现相对简单。完整系统的硬件连接如图1所示。硬件电路由定时模块、发光二极管模块、数码管显示模块和紧急中断模块组成。 定时模块是由8253的计数器0来实现定时100ms。Clk0接实验平台分频电路输出Q6,f=46875hz。GATE0接8255的PA0,由8255输出来控制计数器的起停。OUT0接8259的IRQ2,定时完成申请中断,进入中断服务程序。 发光二极管显示模块由8255输出来控制发光二极管的亮灭。8255输出为低电平时,对应的发光二极管就点亮,否则就熄灭。8255的接口电路如图2所示。交通灯的对应关系如下: 实验平台上提供一组六个LED数码管。插孔CS1用于数码管段选的输出选通,插孔CS2用于数码管位选信号的输出选通。本设计用4个数码管来倒计时。 紧急中断模块是由单脉冲发生单元和8259中断控制器,单脉冲发生单元主要用来请求中断,然后做出紧急情况处理。

plcs7-300红绿灯交通灯程序设计报告书0001

上海理工大学 课程设计报告书 题目:plc 课程设计 系名:电气工程及其自动化专业班级: 姓名: 学号: 指导教师: 2013 年7 月 1 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:十字路口交通灯的控制 一.初始条件 1. 给定交通灯控制的时序逻辑和工作模式; 2. 给出用于系统调试用的S7-300PLC、计算机及交通灯模型; 3. 给出PLC系统的编程软件。 二.要求完成的主要任务 1. 设计要求 ⑴ 根据课程设计指导书的交通灯控制所要求的时序逻辑,要求用线性化编程和结构化编程两种编程方法来实现该控制逻辑。 ⑵ 在结构化编程方法中,以某一方向的红灯和另一方向的绿灯和黄灯为控制对象编制FC1, OB1 中调用FC1。并且东西向和南北向灯只能调用同一个FC1。 2. 设计报告撰写要求 内容要求 一般要求包括如下内容: ⑴ 目录 编制课程设计的目录,目录的各级标题按照章节顺序排列,最多列到三级标题即可,如1.1.1。⑵ 引言课程设计正文前的简短介绍。包括本课题的设计目的、设计的主要过程及主要的设计内容。 ⑶ 电路设计 要求画出PLC的输入/ 输出接线图。 ⑷ PLC硬件组态要求列出硬件组态表。 ⑸ PLC编程元件的地址分配 首先对输入/ 输出点进行地址分配,然后对其他编程元件也进行地址分配,如位存储器M, 定时器T,计数器C 等。 编程中要使用符号地址,所以在OB1 中要编辑符号表(包括输入继电器、输出继电器、定时器及位存储器(或叫辅助继电器),在FC1 中要编辑变量声明表。 ⑹ 编写控制程序 要求:① 编写线性化程序; ② 在结构化编程中分别编制OB1 和FC1; ③ 在程序段中添加注释。

基于51单片机汇编语言设计的交通灯讲解

交通灯的控制 摘要:本设计以AT89C51单片机为主控模块,主要由按钮开关,数码管,发光二极管组成,利用汇编语言编写程序来模拟对十字路口的交通管理,系统包括左右拐,直行,人行道四个基本的交通等功能。南北方向亮绿灯60s,然后黄灯闪烁3次,每次一秒(亮灭各40ms),红灯40s,同时东西方向红灯65s,绿灯35s,黄灯闪烁3s。各路灯用LED模拟显示,同时用七段数码管显示两路的倒计时时间。利用键盘可修改灯亮时间PC机设置灯亮时间,利用PC与单片机串口通信实现。为防止出现紧急情况(如119,110通过时),该系统还设置了中断,可通过按键使各个路口为红灯其它车辆禁行,特殊车辆通行的状态,当东西方向为通行状态时,南北方向有突发情况,也可通过手动按键使东西方向为红灯,南北方向为绿灯。 在对系统的分析基础上,提出了几种设计方案,经比较选择各方较好的LED 动态循环显示方案进行设计,设计包括硬件和软件两大部分单片机最小系统,时间显示,交通等显示三部分。以AT89C51为控制核心,东西南北各设置3个交通灯,东西,南北方向各设置一个2位的数码管时间显示器,交通灯显示则采用红绿黄三色高亮发光二极管模拟,软件采用模块化的设计方法,主要分为主程序,定时中断服务子程序,倒计时显示子程序,交通灯模拟显示子程序。 软件设计完成,经过对该设计程序模拟测试,可实现对十字路口交通的良好管理,预期目标全部达到,该系统操作简单,实用性强,扩展功能好。 关键词:AT89C51 数码管光二极管中断模拟

目录 1前言 (5) 1.1该课程选题背景 (5) 1.2该课程选题现实意义 (5) 1.3单片机交通灯研究任务 (5) 2设计方案 (6) 2.1方案设计目的 (6) 2.2方案设计思路 (6) 2.3方案设计原理 (7) 3系统硬件设计 (8) 3.1 AT89C51芯片简介 (8) 3.2 74LS47芯片简介 (11) 3.3系统总框图 (12) 3.4、交通灯硬件线路 (12) 3.5、系统工作原理 (12)

基于51单片机的交通灯控制系统设计讲解

一、摘要: 随着科技的飞速发展,越来越多的控制功能强大的芯片出现在我们生活中,但8051系列单片机,因为其的廉价几成本,在我们生活中依然处于十分重要的地位。在实时检测和自动控制的单片机应用系统中,单片机是作为一个核心部件来使用,但是仅单片机方面知识是不够的,还需要根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。作为交通控制的重要组成部份单片机。因此,本人选择制作交通灯作为课题加以设计并实现。 交通管制应当以人性化、智能化为目的,做出相应的改善。以此为出发点,本系统采用的单片机控制的交通信号灯。系统具有结构简单、可靠性高、成本低、实时性好、安装维护方便等优点,有广阔的应用前景。 关键词:交通灯,51单片机,数码管 二、实习目的和意义 1.学习51单片机的最小系统及硬件接口设计与应用 2.熟练掌握电路原理图绘制软件DPX的使用。 3.熟练单片机的程序设计与调试。 4. 自主设计出具有实际意义的能用于生活的电路系统。 5. 本次课程设计对以后的毕业设计甚至工作打下了动手自己设计的基 础。 三、实习要求 1. 完成以8051系列单片机为核心处理器的模拟十字路口交通灯 控制的硬件设计(在altium designer下画出硬件原理图)。布线,印制 电路板,并焊接原件搭载硬件电路,做出实物。 2. 完成交通灯控制系统的软件编程。 3. 软硬件综合调试,模拟实现对交通灯控制系统的控制。 4. 撰写实验报告:报告中给出硬件方案、软件流程图、软件关键

代码 四、实习内容 1.设计题目:基于51单片机交通十字路口信号灯设计 2.实现功能:具有红、绿、黄三种颜色彩灯,并有一个数码管进行倒计 时显示倒计时时间为三十秒。还应具有按键控制特殊情况下十字路口 不需要红绿灯的显示(车流量很少的地段深夜可以不设红绿灯)。 五、系统实现 1.电路设计: 51单片机介绍:本实验使用的51单片机为STC89C52 STC89C52是一个低电压,高性能CMOS8位单片机,片内含8kbytes的可反复擦写的只读程序存储器(PEROM)和128 bytes的 随机存取数据存储器(RAM)。 STC89C51是一个低功耗高性能单片机,40个引脚,32个外部双向输入/输出(I/O)端口。单片机外部引脚图如下:

相关主题
相关文档
最新文档