201221123002 陈雅玲 实验七

201221123002 陈雅玲 实验七
201221123002 陈雅玲 实验七

集美大学计算机工程学院实验报告

课程名称:数据库班级:网络1211实验成绩:指导教师:李传目姓名:陈雅玲

实验项目名称:SQL编程及存储过程学号:

201221123002上机实践日期:2014.11.28

实验项目编号:实验七组号:上机实践时间:

一、目的(本次实验所涉及并要求掌握的知识点)

1.了解T-SQL的基本数据类型、函数;

2.掌握局部变量的定义和赋值;

3.掌握存储过程的定义及调用

二、实验内容与设计思想(设计思路、主要数据结构、主要代码结构、主要代码段分析、电路图)

1.基本结构编程;

2.存储过程的建立;

3.存储过程的调用

(一)验证性实验

1.编程实现查询与‘李勇’同系的学生学号

USE STUDENT

GO

DECLARE @DEPA VARCHAR(20)

SELECT @DEPA=DEPA FROM S WHERE SNAME=’李勇’

SELECT SNO FROM S

WHERE DEPA=@DEPA

代码:

USE XSDA

GO

SELECT*FROM S02

DECLARE@DEPA VARCHAR(20)

SELECT@DEPA=DEPA FROM S02WHERE SNAME='李勇'

SELECT SNO FROM S02

WHERE DEPA=@DEPA

2.运行下面的程序,观察运行结果

DECLARE @X INT, @Y INT

SET @X=0

SELECT @Y=1

WHILE @Y<20

SET @X=@X+@Y

SELECT @Y=@Y+2

PRINT STR(@Y)+’IN THE LOOP’

IF @Y>14

BREAK

END

PRINT ‘OUT OF THE LOOP’

代码:

DECLARE@X INT,@Y INT

SET@X=0

SELECT@Y=1

WHILE@Y<20

BEGIN

SET@X=@X+@Y

SELECT@Y=@Y+2

PRINT STR(@Y)+'IN THE LOOP' IF@Y>14

BREAK

END

PRINT'OUT OF THE LOOP'

3.CASE函数的使用

(1)CASE后带表达式

Select 性别=case sex

when ‘男’ then ‘M’

when ‘女’ then ‘F’

else ‘输入出错’

end

From s

代码:

Select性别=case sex

when'男'then'M'

when'女'then'F'

else'输入出错'

end

From S02

(2)CASE后不带参数

SELECT 性别=CASE

WHEN SEX= ‘男’THEN ‘M’

WHEN SEX=‘女’THEN ‘F’

ELSE ‘ERROR’

END

FROM S

代码:

SELECT性别=CASE

WHEN SEX='男'THEN'M'

ELSE'ERROR'

END

FROM S02

(3) 用CASE 语句进行多条件修改

update s set depa=

case depa

when '计算机系' then 'CS'

when '信息系' then 'IS'

when '数学系' then 'MA'

when '物理系' then 'PH'

End

代码:

update S02set depa=

case depa

when'计算机系'then'CS'

when'信息系'then'IS'

when'数学系'then'MA'

when'物理系'then'PH'

end

4.存储过程

(1)不带参数的存储过程

CREATE PROC SCLIST

AS

SELECT SNO,CNO, GRADE FROM SC WHERE SNO IN (SELECT SNO FROM S WHERE DEPA=’计算机系’)

执行存储过程:

EXEC SCLIST

观察结果

代码:

CREATE PROC SCLIST

AS

SELECT SNO,CNO,GRADE FROM SC02WHERE SNO IN (SELECT SNO FROM S02WHERE DEPA='计算机系')

EXEC SCLIST

(2)带参数的存储过程

如:传入一个学生的学号与姓名,显示出这个学生选修的课程号,成绩

CREATE PROC SC_PROC

@xh varchar(10)

AS

SELECT cno , grade

From sc

Where sc.sno=@xh

执行存储过程:

EXEC SC_PROC ‘200512’

CREATE PROC SC_PROC

@xh varchar(10)

AS

SELECT cno,grade

From SC02sc

Where sc.sno=@xh

EXEC SC_PROC'200512'

5.存储过程的相关操作

(1) 查看存储过程:

Sp_help SCLIST

代码:

Sp_help SCLIST

(2) 重命名存储过程

Sp_rename ‘SCLIST’,‘STUDENTSCORE’

代码:

Sp_help STUDENTSCORE

(二)设计性实验

1.实验要求

(1)调用帮助系统来查找系统存储过程或函数来显示SQL SERVER的版本号及当前系统时间。

(提示:到帮助中根据关键字等查询到对应的函数或存储过程来完成任务)

代码:

DECLARE@DATE DATETIME

SET@DATE=GETDATE()

SELECT@DATE AS'系统时间'

SELECT@@VERSION AS'系统版本号'

(2)编写存储过程:完成1!+2!+…+n!的计算。

(提示:传入参数n,根据N用循环控制来求对应的值)

代码:

CREATE PROC P@N INT

AS

DECLARE@I INT,@S INT

SET@I=1

set@S=1

WHILE@I<=@N

begin

set@s=@S*@i

set@I=@I+1

end

print'result ='+CONVERT(varchar(10),@s)

EXEC P N

(3)将S XX表中的DEPA字段内容改为中文:IS-信息系,CS-计算机系,PH-物理系,MA-数学

(提示:用UPDATE语句来修改DEPA字段,DEPA的值根据不同英文缩写要修改为不同的中文系名,用CASE函数来完成)

代码:

update S02set depa=

case depa

when'CS'then'计算机系'

when'IS'then'信息系'

when'MA'then'数学系'

when'PH'then'物理系'

end

(4)将C XX表上增加一个字段seleNUM,并编写存储过程:传入学号和课程号后,完成在SC XX表中插入相应的选课记录,并在C表中对应课程的seleNUM加1。

代码:

ALTER TABLE C02

ADD seleNUM INT

GO

SELECT*FROM C02

UPDATE C02

SET seleNUM=(SELECT COUNT(SNO)FROM SC02WHERE https://www.360docs.net/doc/d78139578.html,O=https://www.360docs.net/doc/d78139578.html,O)+1 SELECT*FROM C02

三、实验使用环境(本次实验所使用的平台和相关软件)

SQL Server Management Studio2008

四、实验步骤和调试过程(实验步骤、测试数据设计、测试结果分析)

(一)验证性试验:

1.

2.

3.(1)

3.(2)

3.(3)select*from S02

4.(1)

4.(2)

5.(1)

5.(2)

(二)设计性实验1.(1)

1.(2)EXEC P 4

1.(3)

SELECT*FROM S02

1.(4)原来SELECT*FROM C06

五、实验小结(实验中遇到的问题及解决过程、实验中产生的错误及原因分析、实验体会和收获)

思考题

(1)简单描述存储过程的使用步骤?

答:

SQLServer的存储过程是一个被命名的存储在服务器上的Transacation-Sql语句集合,是封装重复性工作的一种方法,它支持用户声明的变量,条件执行和其他强大的编程功能。

(2)带参数的存储过程定义时参数定义语句可以在AS后面吗?

答:不能。

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

江苏省无锡市天一实验学校七年级(下)期中语文试卷

江苏省无锡市天一实验学校七年级(下)期中语文试卷 一、积累与运用(25分) 1.(1分)请把下面的话抄写在格子里,要求写得正确、工整、美观。 诗歌是文学殿堂里璀璨的明珠。 2.(8分)根据课文内容填空。 (1),禅房花木深。 (2)油蛉在这里低唱,。 (3)山光悦鸟性,。 (4)初为霖雨之操,。 (5)宋初,,普刚毅果断,未有其比。 (6)。吾于何逃声哉? (7)是她那对世界无私的爱丰富了我,使我充满了坚强的力量以应付困苦的生活的。 (《童年的朋友》) (8)清晨入古寺,初日照高林。(常建《》) 3.(2分)根据汉字写拼音,或者根据拼音写汉字。 秕.谷确凿.粗糙. 人声dǐng沸面面相qùnüè待。 4.(3分)解释下列加点的字。 (1)普少习.吏事 (2)尝.奏荐某人为某官 (3)卒.逢暴雨 (4)志想象犹.吾心也 (5)舟首尾长约八分有.奇 (6)罔不因.势象形。 三、标题 5.(2分)下列加点词语运用不恰当 ...的一项是() A.节日的古镇人声鼎沸 ....,好一派热闹的景象 B.几个人面面相觑 ....都傻了眼,只好赶紧筹谋对策

C.专家还认为,即使是一个很轻微的交通事故,都可能使人暴跳如雷不能自已 .... D.我们就是要虚张声势 ....,把全班同学的学习热情鼓起来 6.(2分)下列句子有语病 ...的一项是() A.有没有良好的班风和学风,是衡量一个优秀班级的重要标准 B.这些深受学生喜爱的活动,使学生的主人翁意识得到了增强 C.通过参加祭扫烈士墓活动,我们受到了深刻的革命传统教育 D.除非加强对抗性训练,中国足球才有可能走向世界,否则连亚洲也冲不出去 四、标题 7.(6分)根据《西游记》的内容完成以下题目。 (1)下列对《西游记》内容表述不正确 ...的一项是 A.白骨精诡计多端,想吃唐僧肉。她先变成十六七岁的少女,然后变成年迈体衰的老翁,最后变成八十岁的老婆婆,均被孙悟空识破,几经争斗,被孙悟空打得露出原形。B.沙僧原为天宫中的卷帘大将,因失手打破琉璃盏被贬下界,在流沙河为妖。 C.孙悟空管理蟠桃园,吃尽园中大桃,又赴瑶池,喝光仙酒,吃尽太上老君金丹,然后逃回齐天大圣府。玉帝命李靖带领天兵天将去捉拿孙悟空,悟空得胜而回。 D.《西游记》是中国古典小说四大名著之一,是古代长篇神魔小说的高峰。 (2)填空。 孙悟空从菩提祖师处学到、筋斗云等本领,大闹天宫后被压在五行山下,后受观世音菩萨规劝皈依佛门,给做了大徒弟,他还为孙悟空取名“行者”。二、阅读与欣赏(35分) 8.(5分) (一)江畔独步寻花杜甫 黄四娘家花满蹊,千朵万朵压枝低。 留连戏蝶时时舞,自在娇莺恰恰啼。 (1)诗中哪两个字写出了花的繁盛? (2)有人说第三句中“留连”一词用得特别好,请分析其表达效果。 9.(12分) (二)芮伯献马贾①祸 周厉王使芮伯帅师伐戎②,得良马焉,将以献于王。芮季曰:“不如捐之。王欲无厌,

单片机七人表决器

一.方案设计 1.设计题目:七人表决器。 2.实训要求 利用AT89S51单片机设计并制作会议表决计票器电路。具体要求如下: 1、可供57个人进行表决,每个人有一个“同意”和一个“反对”按键,表决时两个键先按下的一个有效,若再按另一个键将清楚前一次按键的效能;每次表决每个按键只能是第一次按的有效,多按的次数无效,除非前一次按键的效能已被清楚或没有生效。 2、会议主持人可利用按键控制表决开始和结束;开始表决后,点亮黄色指示灯,表示可以进行表决,同时清楚原来的表决结果;结束表决后显示表决结果;“同意”多于“反对”点亮绿色指示灯,反之点亮红色指示灯。 3、在实现上述功能的基础上增加“同意”数和“反对”数的显示。 二.硬件电路设计和原理。 1.硬件设计思路: 设计题目为5—7人表决器,题目选为七人表决器,七个按键表示同意,七个按键表示反对,各按键与单片机的输入端口相连,因此可选用单片机的四个I/O口,因为在单片机内部P1和P2都有上啦电阻,而P0没有上啦电阻,要在外部加上一个上拉电阻,为了简化电路,把P1和P2口选为按键同意和反对的输入端,因为表决考试和结束要

利用主持人按键来控制,我采用外部中断0和外部中断一来控制其开始和结束;设计要求中需要四张灯,分别为2个红灯,一个黄灯,一个绿灯,其中三个灯用于输出显示,可用单片机的I/O口,另外一个红灯作为电源灯来显示,判断是否通电,因为P1口和P2口用做按键的同意和反对,把P2剩余的I/O口与三个灯连接,分别连接在P2.3,P2.4,P2.5口,因为P0口是低电平有效所以我的P0口与LED现实器相连用于显示反对与同意的人数的多少,采用动态显示的方式,为了保证两个显示器不再相同时间显示相同的数字,所以LED显示器的公共端受另外一组信号的控制,采用延时的方式让它们分别显示出来。 2.元件参数确定: 设计中需要四盏灯,分别为两个红灯,一个绿灯,一个黄灯,P0口的输出端输出高电平一般为5伏左右,最大电流为五毫安,因此必须加上限流电阻,我选用的是470欧的电阻,然后将单片机的最小系统加入此次的电路中。 3.元件清单: 14个开关用于7人同意与反对按键,另外两个开关用做控制投票开始和结束的总开关。 电容:用于单片机的最小系统。 发光二极管:用于表示投票开始与结束,和最后同意与反对票数的对比情况。 电阻:用于限流。

七年级生物下册实验教案

测定某种食物中的能量 课题测定某种食物中的能量类别探究 目的要求1、学会如何测种食物中的能量。 2、明确不同的食物中所含能量不同。 材料用具试管夹、火柴、易拉罐筒、锥形瓶、水、温度计、花生种子或核桃仁种子等 知识链接1、1毫升水每升高1℃,需要吸收4.2焦的热能(焦是能量的单位)。 2、读数时,眼与刻度处要平行。 方法步骤1、准备易拉罐筒,剪一个圆孔,并在孔周围及罐底四周剪若干个孔洞,以便通风。 2、取锥形瓶并注入30毫升水,并放入温度计。

方法步骤3、测好水温,花生种子的重量,并将这粒种子燃烧。 4、烧尽后,测量水温,并计算出能量。 5、得出结论:__________________。 小结通过探究,学生明确了种子中含有能量,不同的种子中含有的能量不同。 练习1、食物中含有__、__、__、___、___、__等六类营养物质。 2、三大供能物质是__、__、__。主要供能物质是__。

探究馒头在口腔中的变化 课题馒头在口腔中的变化类别探究 目的要求1、探索唾液淀粉酶的作用。 2、通过唾液淀粉酶的实验,理解酶的特性。 材料用具 淀粉、烧杯、量筒、试管、酒精灯、火柴、温度计、碘液、稀盐酸、冰块等 知识链接1、馒头的主要成分是____。 2、淀粉遇碘变成()色。 方法步骤1、试管分开并编号,分成5支。 2、收集唾液。 3、每支试管加2毫升唾液。

方法步骤4、在5支试管中分别加清水、唾液、煮沸的唾液、含盐酸的唾液、唾液2毫升。 5、前四支试管37度,5号管0度水浴。 6、30分钟,各管加2滴碘液,观察。 小结唾液淀粉酶对淀粉有分解作用,此酶不适宜在酸性条件下进行作用,最适宜的温度是37度。 练习1、5支试管中不变蓝的是(),原因是()。 2、通过本实验,你认为唾液淀粉酶对()有()作用。

无锡市天一实验中学2019-2020学年七年级期中语文试卷(含答案)

2019年秋学期无锡市天一实验学校七年级语文学科期中考试试卷 日期:2019.11 一、积累与运用(共 30 分) 1.看拼音写汉字(4分) 粗guǎng宽chǎng huǐ恨qǐ盼 2.诗文默写(10分) (1),其不善者而改之。(《论语》) (2)秋风萧瑟,。(曹操《观沧海》) (3)潮平两岸阔,。(王湾《次北固山下》) (4),影入平羌江水流。(李白《峨眉山月歌》) (5)正是江南好风景,。(杜甫《江南逢李龟年》) (6),一夜征人尽望乡。(李益《夜上受降城闻笛》) (7)遥怜故园菊,。(岑参《行军九日思长安故园》) (8)夕阳西下,。(马致远《天净沙·秋思》) (9)《<论语>十二章》中阐述学习与思考辩证关系的句子是,。 3.解释下列加点字。(4分) (1)柳絮因.风起()(2)陈太丘与友期.行() (3)人不知而不愠.()(4)思而不学则殆.() 4.下列划线词语运用不恰当的一项是()(2分) A.有话好好说,不要那么咄咄逼人。 B.我和妈妈明天要莅临楼下新开张的超市。 C.孩子的心理世界与成人是截然不同的,不能用教育成人的方法教育孩子。 D.颜回品德高尚,安于贫穷,箪食瓢饮而不改其乐。 5.下列句子中没有语病的一项是()(2分) A.童年时代小伙伴们快乐的歌声、活泼的身影,至今还时时浮现在我的眼前。 B.现在市面上许多漫画期刊有成人化倾向,这可能会影响到孩子们的价值取向。 C.通过持续不断的校园安全宣传教育活动,使全校师生的安全意识大幅提高。 D.街道办希望通过多种渠道,大力开展法制教育,防止青少年不违法犯法。 6.下列文学常识表述有误的一项是()(2分) A.《秋天的怀念》的作者是史铁生。他是当代作家,代表作有散文《我与地坛》《病隙碎笔》等。 B.《金色花》的作者泰戈尔是印度作家、诗人。他曾获诺贝尔文学奖。 C.《论语》是记录孔子言行的一部语录体书籍,与《大学》《中庸》《孟子》合称“四书”。 D.“尊君”“家君”,前者是敬辞,是对别人父亲的尊称;后者是谦辞,是对人谦称自己的父亲。

七人表决器

学院名称电子技术基础课程设计报告 七人表决器电路设计报告 学生姓名__ _____ 学号 专业 指导教师 系别__ _ 年月日

一、评语(根据学生答辩情况及其报告质量综合评定)。 二、评分 指导教师签字: 年月日

摘要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,相应灯的明亮即显示出表决结果。在七人表决器中七个人分别用手指拨动开关 SW1、SW2、SW3、SW4、SW5、SW6、SW7 来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方)不同意就把自己的指拨开关拨到低电平(下方)。表决结果用 LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。根据设计与制作的主要内容按照设计题目,以及所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1电路的总体原理框图 (2) 2.2元件选择 (2) 3 各模块设计 (3) 3.1投票按键部分电路设计 (3) 3.2输入转换部分及控制电路 (3) 3.3票数统计部分及控制电路 (4) 3.4票据分析与结果显示分 (5) 3.5总体电路 (7) 4 软件仿真 (8) 5 课程设计体会 (9) 参考文献(按照标准格式) (10)

最新七年级下册生物实验教学总结资料

七年级下册生物实验教学工作总结 生物是一门以实验为基础的学科,开展好实验教学是学好生物的前提条件。生物实验具备培养学生观察和动手能力的功能,更有培养学生动脑、启迪思维、开发潜能的作用,为使今后实验教学顺利有效开展,七年级、八年级生物实验教学开展率按计划全部完成。现将本学年初中生物实验教学做如下总结: 一、尊重客观规律,坚持实事求是 在平时的学生实验中,经常出现这种现象:当实验得不到正确结果时,学生常常是马虎应付,实验课堂一片混乱,铃声一响学生不欢而散;当老师催要实验报告时,他们就按课本上的理论知识填写实验报告;还有的学生在规定时间内完不成应该做的实验项目,就抄袭他人的实验结果,或凭猜测填写实验结论等等。这样就不能达到实验教学目标。可见,对生物实验教学,必须要加强理论学习,提高实验教学技能,势力严谨细致、认真科学的态度,要尊重客观规律,实事求是,实实在在地引导学生完成实验教学的任务,才能达到理想的目的。 二、认真完成实验环节,注重操作引导 在实验教学工作中,无论是实验员准备实验,教师演示实验,或者指导学生实验,以及对待实验的严格态度等方面,处处,时时,事事都要体现教师的言传身教,只有教师教得扎实,学生才能学得牢固。因此,严格搞好实验课的“备、教、导”是上好实验课不可缺的基本环节。 1、备好实验课是上好实验课的首要条件 教材中要求做的实验,无论简单也好复杂也好,都必须要备好课,写好切实可行的教案,并且在实验课之前要亲自动手做一遍,即预备实验。教师做了,才可能指导学生如何应对操作过程中每一个细节可能出现的问题,看到实验现象,

学到真正的实验方法和科学知识,培养学生发现问题,解决问题的能力;若不备课,不亲自做实验,凭空想象,黑板上做实验,那就没有明显效果,更没说服力了。甚至会出现,全体学生实验失败等不该发生的现象。 2、注重实验引导 指导学生实验时,既要面面具到,事无俱细进行引导,同时,又要注意切忌包办代替。从实验材料的选择,仪器的装配到操作步骤和技巧,既要科学规范, 又要密切结合具体实际,在尊重学生主体地位的同时,充分发挥教师的引导作用,以保证现象清晰,结果正确。 3、注重实验结果的分析与小结 要求学生,在填写实验报告时,要如实填写。实验失败时,要如实地与学生一起分析失败原因,可课后补做。如果学生实验失败,我们就通过示范帮助学生掌握操作技能,取得成功,或帮助分析失败原因让学生重做,直至成功。不能听之任之,否则,就达不到实验课的目的。 此外,对一些特殊的材料、仪器以及实验的目的和原理都要加以必要的说明,如选材原因、一起的功能等。 综上所述,生物课实验,无论教还是学,都必须坚持客观、严谨、认真、扎实的作风,教师才能教好,学生才能学好,也只有这样,才能真正发挥实验教学的作用,达到预期的教学目的和效果。

2018-2019第一学期江苏省无锡市天一实验初一英语试题及答案

无锡市天一实验学校2018年秋学期 初一英语期中试卷 出卷人:王心怡赵霞审卷人:钱立新 I 听力部分(15分) 第一节(共10小题,每小题分) 听下面10段短对话,每段对话后有一个小题,从题中A、B、C三个选项中选出最佳选项。听完每段对话后,你都有10秒钟的时间来回答有关小题和阅读下一小题。每段对话读两遍。听第1至10段材料,回答第1至10题。 1. Which club is Lucy in? A. B. C. 2. Which country does the boy come from? A. B. C. 3. What will the weather be like tomorrow? A. B. C. 4. What time is it? A. B. C. 5. What does the man mean? A. He doesn’t know what to do. B. He wants to give Uncle Li a birthday present. C. He doesn’t want to go to Uncle Li’s birthday party. 6. What day is it tomorrow? A. Tuesday. B. Wednesday. C. Thursday. 7. How long does it take the girl to get to school? A. Fifteen minutes. B. Half an hour. C. An hour. 8. What does Sandy have for breakfast? A. Bread, milk and an apple. B. Bread, juice and an apple. C. Cake, milk and an apple. 9. How often does Amy do morning exercises? A. Every day. B. Sometimes. C. Seldom. 10. When was Simon born? A. On October 31st. B. On March 8th. C. On May 1st. 第二节(共10小题,每小题1分) 听下面3段长对话或短文,每段对话或短文后有几个小题,从题中A、B、C三个选项中选出最佳选项。听每段对话和短文前,你将有时间阅读各个小题,每小题5秒钟,听完后,每小题将给出5秒钟的作答时间。每段对话和短文读两遍。 听第一段对话,回答第11、12题。 11. How many PE classes does Mary have every week? A. One B. Two C. Three 12. What are Mark’s favourite sports? A. Basketball and football.

七人表决器

EDA技术课程设计设计题目:七人表决器设计 院系:电气信息学院 专业年级:电子信息工程2010级 学生姓名:XXX 学号:XXXXXXX 指导教师:XXXXX 时间:2013年1月13日

用VHDL 设计七人表决器 一、实验目的 1、熟悉 VHDL 的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 二、实验原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则 LED 被点亮;否则,如果表决的结果为反对,则 LED 不会被点亮。同时,数码管上显示通过的票数。 三、实验内容 本实验就是利用实验系统中的拨动开关模块和LED模 块以及数码管模块来实现一个简单的七人表决器的功能。 拨动开关模块中的K1~K7表示七个人,当拨动开关输入为 ‘1’时,表示对应的人投同意票,否则当拨动开关输入为

‘0’时,表示对应的人投反对票;LED 模块中 LED1 表示七人表决的结果,当 LED1 点亮时,表示此行为通过表决;否则当 LED1 熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 四、硬件系统组成框图 五、VHDL程序实现 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bjq7 is

最新七年级下册生物实验题(完整版)

生物七年级下册实验题及答案 1、根据“骨的成分与骨的特性之间的关系”的实验回答下列问题。 (1)鱼肋骨经过煅烧后,剩余下的是_______。它与骨的__________有关。 (2)骨的脱钙实验证明骨中含有___ _____,它与骨的_________有关。 2、根据“骨的成分与骨的特性之间的关系”的实验回答下列问题。 (1)鱼肋骨经过煅烧后,最终变为色,剩余下的物质主要是。它使骨。 (2)将鱼骨放入10%的盐酸中,会有出现,经过一段时间后,所剩下的物质主要是 ,它使骨。 3、“食物中含有蛋白质、淀粉和脂肪”的实验中,从纱布包内向清水中渗出许多白色物 质,此物质是,遇碘液变为;纱布中黄白色的胶状物质是; 挤压花生种子后在白纸上留下一个印迹,说明食物含有 4、回答下列有关探究实验“测定不同食物中的能量”的问题: (1)探究时有玉米、花生和葡萄三种食物供选择,你认为应当选择______为实验材料,理由是______.(2)探究时可作出的假设是______. (3)探究过程中,除食物外,所有条件均相同的目的是控制单一______,排除其它因素的干扰.尽量减少能量损耗的目的是为了______,使得到的能量数值更准确. (4)为了提高实验结果的准确度,需设置平行重复实验,取实验数据的______值. 5、当我们细细咀嚼馒头时,会觉得有甜味。根据这种变化,某兴趣小组提出了有关馒头在口腔中消化的问题,并进行了探究 提出问题:馒头的消化是否与牙齿的咀嚼、舌的搅拌和唾液的分泌都有关? 做出假设:。 制定计划:该小组对馒头进行了以下三种处理: ①1号试管:馒头碎屑+ 唾液,充分搅拌; ②2号试管:馒头碎屑+ 清水,充分搅拌; ③3号试管:馒头块+ 唾液,不搅拌。 3个试管放到37℃的温水中保温10分钟,取出后分别加入2滴碘液,摇匀,观察试管中颜色的变化。请回答: (1)探究“馒头的消化与牙齿的咀嚼和舌的搅拌有关”时,应选取___________两组作对照。其中出现蓝色的试管是__ ____。 (2)探究“馒头的消化与唾液有关”时,应选取____ __两组作对照。其中出现蓝色的试管是__ __,其原因是____________ ____________________。另一支试管不出现蓝色,说明其中的淀粉已被酶分解, (3)将试管放到37~C温水中加热的目的是。 得出结论: 由以上两组对照实验可得出结论:。 6、某实验小组在探究“馒头在口腔中的变化”时,进行了以下三种处理:

无锡市天一实验学校2016年七年级下期中英语试题含答案

市天一实验学校2016年春学期期中考试 初一英语试卷2016-4-20 第一卷客观题(共55分) 一、听力(本大题共20分,每小题1分) 第一部分听对话回答问题(计10分) 本部分共有10道小题,每小题你将听到一段对话,每段对话听两遍。在听每段对话前,你将有5秒钟的时间阅读题目;听完后,你还有5秒钟的时间选择你认为最合适的备选答案。 ( )1. What will Amy get from her g randpa? ( )2. Where does Stephen chat with his friends? ( )3. What is Peter doing at present? ( )4. Which of the following do the two speakers need? ( )5. Where will the man go? A. Clothes shop. B. Flower shop. C. Sports shop. ( )6. Why does the girl like blue? A. Because it makes her feel excited. B. Because it is the same colour as her eyes. C. Because it is the same colour as the sea.

( )7. Who is the woman looking for? A. Carl. B. Bob. C. Her son. ( )8. What happened to the football? A. It was in the tree. B. It was in the swimming pool. C. It went through a window. ( )9. Why is the boy worried? A. Because he thinks that he will not pass his exams. B. Because he thinks that he will get ill. C. Because he thinks that his cat will run away. ( )10. When will the girl return the book to the boy? A. Tomorrow. B. Next week. C. Next month. 第二部分听对话和短文答题(计10分) 你将听到一段对话和两篇短文,各听两遍。听每段对话或短文前,你将有时间阅读相关小题,每小题5秒钟;听完后,每小题你仍有5秒钟的时间选择你认为最合适的备选答案。 听一段对话,回答第11-12小题。 ( )11. Which TV set will the woman buy, a big one or a small one? A. A small one. B. A big one. C. Both of them. ( )12. How much will the TV set cost the woman? A. 2,500 yuan. B. 2,400 yuan. C. More than 2,500 yuan. 听第一篇短文,回答第13-15小题。请根据短文容,选择正确答案,完成信息记 ( )13. A for one month B. for less than one month C. for over one month ( )14. A. his grandfather B. his cousin C. his e-friend ( )15. A. the Summer Palace B. the Central Park C. the Great Wall 听第二篇短文,回答第16-20小题。 ( )16. What time does Mr Lee get up on Sundays? A. He gets up at six. B. He gets up at six thirty. C. He gets up at seven. ( )17. Where does he go after breakfast?

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

七年级下册生物实验

测定反应速度 年级班实验人:组次:实验时间: 实验目的: 实验器材: 实验设计: 1、分组,以两人为一实验小组,两人轮流提任测试者、被测者。 2、测试常态(集中注意力)下每人的反应速度。 ①测试者将直尺末端(刻度最大)捏住,并将直尺坚直提起。 ②被测者的拇指和食指分开,放在直尺零刻度的两边,两指分别距直尺一厘 米不,集中注意力眼睛盯住直尺。 ③测试者松开手,直尺下落。 ④被测者看到直尺下落立即合拇指和食指夹住这把直尺。 ⑤记录下夹住直尺出的速度。刻度尺的大小就可以反应你的反应速度。 实验结论:不同的人的反应速度是______________。 教师评价:日期:

测定某种食物中的能量 年级班实验人:组次:实验时间: 实验目的: 实验器材: 实验步骤: 得出结论:食物中含有能量,不同的食物含的能量多少________________(相同或不同)。 教师评价:日期:

观察小鱼尾鳍内血液的流动 年级班实验人:组次:实验时间: 实验目的: 实验器材: 实验步骤: (1)、用浸湿的棉絮将小鱼头部的鳃盖和躯干部包裹起来,露出口和尾部。(2)、将小鱼平放在培养皿中,使尾鳍平贴在培养皿上。 (3)、将培养皿放在载物台上,用低倍显微镜观察尾鳍血管内血液的流动情况。 (4)、找到管径最小的血管,注意观察血液在这种血管中的流动情况。(5)、注意观察管径最小的血管是由什么血管分支而来的,它最终又汇入什么血管中。 交流评估: 1、你观察到了几种血管,这些血管的流速一样吗? 2、毛细血管中,红细胞是_____________通过,毛细血管壁的特点 是________________________________________________________. 教师评价:日期:

七人表决器实验

3.3实验三七人表决器设计 3.3.1实验目的 1、掌握用QuartusII软件设计基本数字系统流程及注意事项。 2、进一步熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法。 3、掌握分层设计的方法和注意事项 4、在实验报告中,总结数字系统设计步骤及注意事项。 3.3.2实验内容 基于QuartusII软件及VHDL语言实现七人表决器。当参与表决的7人中有4个或4个以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过,并显示赞成和反对的人数。用7个开关作为表决器的7个输入变量,数码管显示人数,LED灯显示是否通过。 本实验4学时。 3.3.3实验仪器 ZY11EDA13BE型实验箱。 3.3.4实验原理 分析实验要求,七人表决器系统主要由两个模块构成:投票计数模块和数码管显示模块。 一、建立项目 (1)新建文件夹。路径及文件名中不可出现汉字。 (2)新建项目。一个数字系统可以由多个模块构成,使所有模块连接在一起的总文件叫做顶层文件,只有顶层文件名可以且必须与项目名相同。项目取名为bjq7。 (3)选择芯片

二、建立文件 首先,建立各个VHDL功能模块。 1.投票计数模块。 (1)新建VHDL文件 编辑VHDL程序。投票计数模块输入为七个电平开关input,输出为同意的人数agree,反对的人数disagree,是否通过指示灯y,程序清单如下: library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity BJQ is port(input:in std_logic_vector(6downto0);七个输入开关agree:out std_logic_vector(3downto0);同意的人数 disagree:out std_logic_vector(3downto0);不同意的人数 y:out std_logic);是否通过标志 end; architecture one of BJQ is begin process(input) variable cnt:integer range0to7; variable cnt0:integer range0to7; begin cnt:=0; for i in6downto0loop if input(i)='1'then cnt:=cnt+1; end if; end loop; cnt0:=7-cnt; if cnt>3then y<='0'; else y<='1'; end if; case cnt is when0=>agree<="0000"; when1=>agree<="0001"; when2=>agree<="0010"; when3=>agree<="0011"; when4=>agree<="0100"; when5=>agree<="0101"; when6=>agree<="0110"; when7=>agree<="0111"; when others=>agree<="0000"; end case; case cnt0is when0=>disagree<="0000"; when1=>disagree<="0001"; when2=>disagree<="0010"; when3=>disagree<="0011"; when4=>disagree<="0100"; when5=>disagree<="0101"; when6=>disagree<="0110"; when7=>disagree<="0111";

无锡市天一实验学校七年级数学第二次阶段测试

无锡市天一实验学校初一数学第二次阶段测试考试时间:120分钟满分:100分出卷:初一备课组 2008年12月一、填空题(第1~14题,每空1分,第15~18题,每空2分,共28分) 1.方程的解是. 2.下列各式中①;②;③; ④;⑤,是一元一次方程的 有 . (填序号) 3.写出一个满足下列条件的一元一次方程:①某个未知数的系数是0.5;②方程的解是2;这样的方程是. 4.木工在打墨线时,往往先在木头上确定两点,然后拉紧,提起墨绳一松手便弹出一条墨线,其道理是 . 5.比较下列角度的大小:18°15′18.15°(填“>”,“<”或“=”) 6.平面上有任意三点,过其中两点能画直线条数是.

7.如果某个几何体它的俯视图、正视图及左视图都相同的图形,则该几何体可能是.(写一个满足条件的几何体即可) 8.在直线上顺次取A、B、C三点,使得AB=5㎝,BC=3㎝,如果O是线段AC的中点,则线段OB的长度是cm. 9.1周角=°,1°= ′=″,22°32′16″-3°20′24″= . 10.某商店将彩电按成本价提高50%,然后在广告上写“大酬宾,八折优惠”,结果每台彩电仍获利270元,那么每台彩电成本价是___________. 11.正方体有______条棱; 若一个正方体所有棱的和是36cm,则 这个正方体的体积是______cm3. 12.如右上图是由一些相同的小正方体构成的几何体的三视图,那么这些相同的小正方体的个数最多个,最少_____个.

13.如右图,OA⊥OB, ∠BOC=300, OD平分∠AOC,则∠BOD= 。

14.在同一平面中,用游戏棒搭4个大小一样的等边三角形至少要 个游戏棒,那么在空间..搭4个大小一样的等边三角形至少要 个游戏棒。. 15.已知,B 是线段AD 上一点,C 是线段AD 的中点,若AD=10,BC=3,则AB=________. 16.如果关于的方程2+1=3和方程的解相同,那么 的值为________. 17.“仁义礼智信孝”是我们的传统美德, 小明将这六个字写在一个正方体的六个面上,其平面展开图 如图所示,那么在该正方体中,和“仁”相对的字是__________. 18.一个长方体的主视图和左视图如图所示(单位:cm ), 则其俯视图的面积是 cm 2 . 二、选择题(每题2分,共16分) 3 2 4 4 (第18题) 信 智礼义 仁孝

课程设计试验报告三人表决器

武汉纺织大学《数字逻辑》课程设计报告 题目:三裁判表决器 院系:数学与计算机学院 专业班级:计科094 学号:0904681223 学生姓名:李勤 指导教师:朱勇 2011年 5 月20 日

一、 引言 通过对传统数字电路的设计,掌握对数字逻辑设计概念的熟悉。 二、系统介绍: 主要仪器是TOS-2数字电路实验系统。选用的芯片是74LS151 8-1数据选择器。通过设计好的逻辑表达式,在TOS--2数字电路上选择好个个需要连入的拐脚,进行连线。实现三人表决器的功能。 三、设计任务及设计原理: 引脚图 功能表 设计有三个变量输入A ,B C ,二个输出 W ,F,其中F=W , 逻辑表达式: F=ABC C AB C B A BC A +++。A,B,C 通过三个开关相接,把D0---D7设置好之后, 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 6 7 8V CC D 4 D 5 D 6 D 7 A 0 A 1 A 2D 3 D 2 D 1 D 0 Y Y S GND 输 入输 出D A 2 A 1 A 0 S Y Y × × × × 1D 0 0 0 0 0 D 1 0 0 1 0 D 2 0 1 0 0D 3 0 1 1 0D 4 1 0 0 0D 5 1 0 1 0D 6 1 1 0 0D 7 1 1 1 00 1D 0 0D D 1 1D D 2 2D D 3 3D D 4 4D D 5 5D D 6 6D D 7 7D

就可以通过调节开关来输入。输出接到一个LED灯,如果通过,那么灯就亮,否则的话,灭。 74LS151 0 G A A B B C C D0 D1 Y Y 0 D3 D3 W D4 1 D5 D6 D7 方法之一 四、代码清单:(机房答辩,提交代码) 只需要连线,无代码。 五、程序调试心得体会: 第一次线连接好之后,首先试验的是逻辑功能的正确性。用了两个LED灯,来作为输出,三个开关组合成A,B,C的八种状态。确保正确性之后,在进行的验证三人表决器。试验顺利,没有遇到困难。 六、参考文献: [1] 朱勇,数字逻辑,中国铁道出版社,2007.12 [2] 夏宇闻,Verilog DHL 入门,北京航空大学出版社,2007.5 七、致谢:感谢同学袁盼的一起合作,在连线过程中遇到问题时,一起商量。

相关文档
最新文档