8位竞赛抢答器的设计

8位竞赛抢答器的设计
8位竞赛抢答器的设计

单片机课程设计专业电气工程及其自动化

指导教师

学生颜良堂

学号 B2011052216

题目8位竞赛抢答器的设计

2013年12月25日

目录

一、设计任务与要求 (3)

二、方案设计与论证 (3)

2.1 方案一: (3)

2.2 方案二: (3)

三、单元电路的设计 (3)

3.1 芯片的选择及工作原理 (3)

2.3 系统的硬件构成及功能 (4)

四、软件的设计 (5)

4.1 主程序流程图 (5)

4.2 主程序 (5)

4.3 子程序 (6)

1、开始、复位程序 (6)

2、中断程序 (6)

3、选手键盘扫描程序 (7)

4、数码管显示程序 (9)

5、抢答时间设计程序 (9)

6、延时子程序 (9)

五、仿真与调试 (10)

5.1 抢答器调试结果 (10)

六、结论与心得 (12)

附件1:电路图 (12)

附件2:源程序 (13)

附、参考文献 (17)

一、设计任务与要求

以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。

设置一个系统清除和抢答控制开关S,开关由主持人控制。

抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。

抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。

当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。

参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

二、方案设计与论证

2.1 方案一:

使用C语言编程实现基于单片机的八位抢答器的课程设计,用到的元器件有AT89C51 、MAX7219、按键、数码管、上拉电阻、蜂鸣器等。

2.2 方案二:

使用汇编语言编程实现八位抢答器的课程设计,用到的元器件有AT89C51、74LS373、按键、数码管、上拉电阻、蜂鸣器等。

选择方案二的理由:首先汇编语言是一种功能很强的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言,具有很高的精确控制;其次选用74LS373芯片作为数码管的控制芯片是因为它容易控制选用3个74ls373分别控制倒计时的两个数码管及一个显示抢答结果的数码管,所以我选择了方案二。

三、单元电路的设计

3.1 芯片的选择及工作原理

本设计使用到的元器件包括AT89C51芯片、数码管。

工作原理:

仿真开始显示FFF;按下“复位”键显示倒计时初值,起初设的是30秒;再按下“设时”键,按一下计数初值加1,到30自动清零;按下“开始”键开始倒计时,每减一,有0.5秒的声响;当有选手按下,则倒计时停止,显示当前倒计时数值和选手编号;若在倒计时计数到0,无人抢答则有1秒声响的报警。

2.3 系统的硬件构成及功能

1、 单片机晶振及复位部分电路电路

2 、选手抢答部分电路:由八个按键S0~S7以及8个阻值为1k 的上拉电阻组成

3、 开始、复位、时间设置及扬声器部分电路:由3个按键、1个电阻、NPN 和1个BUZZER 组成,用于主持人操作及扬声器提示报警

4、 显示部分电路:由3个74LS373芯片及3个数码管构成,用于显示倒计时时间和抢答结果

四、 软件的设计 4.1 主程序流程图

4.2 主程序

MAIN: MOV TMOD,#01H ;主程序 MOV TH0,#3CH MOV TL0,#0B0H SETB EA

SETB ET0 SETB EX0 SETB IT0

MOV R4,#30 ; 30秒倒计时 MOV R3,#0AH ;抢答结果 MOV R7,#0AH ;倒计时 MOV R6,#0AH

MOV R0,#30 ;R0存放倒计时的显示值,初值设为30 LCALL XIANSH2

开始

显示FFF

复位键

时间设置

开始按钮

30s 抢答

倒计时加1

显示抢答结果

开始倒计时

Y

Y

Y

Y

N

N

N

N

4.3 子程序

1、开始、复位程序

;********复位程序********

FW: JNB P3.1,FW1 ;预判复位键按下否

JMP FW

FW1: LCALL DELAY ;去抖动,判断是否真按下

JNB P3.1,FW2

JMP FW

FW2: MOV R3,#0BH ;复位按下,则存放选手编号的R3,放入"灭"的代码

MOV A,R4 ;倒计时初值R4放入显示倒计时寄存器R0中 MOV R0,A

LCALL XIANSH1

;开始程序

SAM: JNB P3.0,PK

JMP SAM

PK: JNB P3.0,PK1 ;扫描开始键是否按下

JMP SAM

PK1: MOV R3,#0BH ;按下则R3存"灭"代码,

SETB TR0 ;/启动定时器

MOV R2,#0AH ;中断次数设为10次,刚好1秒

HERE: CJNE R1,#01H,YY ;若R1中存放的选手按键标志位为1,则转入STAR

JMP STAR ;否则继续循环等待中断

YY: JMP HERE

2、中断程序

;中断程序

IT0P: CLR TR0

DEC R2

CJNE R2,#05H,JX0 ; 中断5次后,开启扬声器

clr P3.6

JX0: CJNE R2,#00H,JX1 ;中断10次后,关闭扬声器,这样喇叭响0.5秒

setb P3.6

DEC R0

LCALL XIANSH1

MOV R2,#0AH ;R2中再存入中断次数

JX1: LCALL SAMO

CJNE R1,#01H,JX2 ;扫描选手按键标志位R1,未按下则转JX2 ,按下则返回

JMP RETURN

JX2: JNB P3.1,PK2

JMP CZ

PK2: LCALL DELAY ;检测复位键按下否

JNB P3.1,QFW

JMP CZ

QFW: MOV R1,#01H

JMP RETURN ;按下则返回

CZ: CJNE R0,#00H,CZ1 ;倒计时是否计时完毕

clr P3.6 ;及时完毕无人抢答则扬声器开启 LCALL DELAY5 ;延时1秒

MOV R1,#01H ;R1设1

JMP RETURN ;中断返回

CZ1: MOV TH0,#3CH ;计时器初值重装

MOV TL0,#0B0H

SETB TR0

RETURN: RETI

3、选手键盘扫描程序

;选手键盘扫描程序

SAMO: JNB P1.0,XS1

JNB P1.1,XS2

JNB P1.2,XS3

JNB P1.3,XS4 ;预扫描选手按键是否按下

JNB P1.4,XS5

JNB P1.5,XS6

JNB P1.6,XS7

JNB P1.7,XS80

RETURN2:RET ;未按下则返回

XS80: LJMP XS8

XS1: LCALL DELAY ;去抖动再判是否按下

JNB P1.0,XS11

JMP RETURN2

XS11: MOV R1,#01H

setb P3.6 ;按下则显示1号扬声器关闭 MOV R3,#01H

LCALL XIANSH1

JMP RETURN2

XS2: LCALL DELAY

JNB P1.1,XS22

JMP RETURN2

XS22: MOV R1,#01H

setb P3.6 ;按下则显示2号扬声器关闭

MOV R3,#02H

LCALL XIANSH1

JMP RETURN2

XS3: LCALL DELAY

JNB P1.2,XS33

JMP RETURN2

XS33: MOV R1,#01H

setb P3.6 ;按下则显示3号扬声器关闭 MOV R3,#03H

LCALL XIANSH1

JMP RETURN2

XS4: LCALL DELAY

JNB P1.3,XS44

JMP RETURN2

XS44: MOV R1,#01H ;按下则显示4号扬声器关闭 setb P3.6

MOV R3,#04H

LCALL XIANSH1

JMP RETURN2

XS5: LCALL DELAY

JNB P1.4,XS55

JMP RETURN2

XS55: MOV R1,#01H

setb P3.6 ;按下则显示5号扬声器关闭 MOV R3,#05H

LCALL XIANSH1

JMP RETURN2

XS6: LCALL DELAY

JNB P1.5,XS66

JMP RETURN2

XS66: MOV R1,#01H

setb P3.6 ;按下则显示6号扬声器关闭

MOV R3,#06H

LCALL XIANSH1

JMP RETURN2

XS7: LCALL DELAY

JNB P1.6,XS77

JMP RETURN2

XS77: MOV R1,#01H

setb P3.6

MOV R3,#07H ;按下则显示7号扬声器关闭

LCALL XIANSH1

JMP RETURN2

XS8: LCALL DELAY

JNB P1.7,XS88

JMP RETURN2

XS88: MOV R1,#01H

setb P3.6 ;按下则显示8号扬声器关闭

MOV R3,#08H

LCALL XIANSH1

JMP RETURN2

4、数码管显示程序

;显示程序

XIANSH1:MOV A,R0

MOV B,#0AH ;R0中存放的倒计时显示值除10 分出十位和个位

DIV AB

MOV R7,A ;十位存入R7中

MOV R6,B ;个位存入R6中

XIANSH2:SETB P0.4

CLR P0.5 ;选择选手编号的数码管

CLR P0.6

MOV A,R3

MOV DPTR,#SEGTAB ;调入代码

MOVC A,@A+DPTR

MOV P2,A ;显示选手编号

CLR P0.4

SETB P0.5 ;选择显示十位的数码管

CLR P0.6

MOV A,R7

MOV DPTR,#SEGTAB ;调入代码

MOVC A,@A+DPTR

MOV P2,A ;显示十位

CLR P0.4

CLR P0.5 ;选择显示个位的数码管

SETB P0.6

MOV A,R6

MOV DPTR,#SEGTAB ;调入代码

MOVC A,@A+DPTR

MOV P2,A ;显示个位

RET

5、抢答时间设计程序

;抢答时间设置程序

SHESH:INC R0 ;倒计时初值加1

CJNE R0,#31,BQL ;倒计时初值设置超过30 自动清零

MOV R0,#00H

BQL: MOV R3,#0BH

MOV A,R0 ;倒计时初值存入其专用寄存器R4中,这样可以全局不变,R0会倒计时中递减而改变

MOV R4,A

LCALL XIANSH1

RETI

6、延时子程序

;10ms延时程序(用于去抖动)

DELAY: MOV R7,#20

D1: MOV R6,#248

D2: DJNZ R6,D2

DJNZ R7,D1

RET

;1s延时程序(无人抢答报警)

DELAY5:MOV R7,#40

DL1: MOV R6,#50

DL2: MOV R5,#248

DL3: DJNZ R5,DL3

DJNZ R6,DL2

DJNZ R7,DL1

RET

五、仿真与调试

5.1 抢答器调试结果

1、开始

开始运行仿真电路数码管显示FFF。

2、倒计时

首先按下复位按钮,当按下开始按钮时开始倒计时。

在倒计时时间内抢答有效,倒计时后和主持人还没按开始按钮抢答均无效。

在还没开始和抢答结束后均可设置抢答倒计时时间0~30s

5、抢答结束复位清零

抢答结束后可按复位键清零以便下一次抢答。

六、结论与心得

此次课程设计,开始很苦恼,无从下手。后来和同学讨论决定首先借助于网络资料。网络上的资源大多并不完善,甚至有些根本看不懂。此时真的很头疼。于是回想之前实验课上所学习的知识。寻找借鉴例题。零零散散下载摘录很多东西。又结合抢答比赛的实际应用,开始起草最初的设计草案。想法很多设计也几近完整,然而很多功能却无法实现。于是决定按照草案一步步依次解决,边解决边定稿最后设计方案。中间遇到很多问题、困难。这时我才了解到对知识的掌握还有许多的生疏和欠缺。然而此时后悔已经于事无补。我们只能不停在网络上搜索查找学习,尽量弥补自身知识的不足。渐渐完善此设计。在这次试验设计中在老师的严格要求下,我发现了自己知识上的不足和理论时间上的差距。通过此次的课程设计使我对本科知识有了更深入的了解提高了实践的操作能力。同时,也规范了我对报告文体格式的掌握。并让我了解到认真刻苦,以真理为武,追求卓越的精神!

附件1:电路图

附件2:源程序

ORG 0000H

LJMP MAIN

ORG 0003H

LJMP SHESH

ORG 000BH

LJMP IT0P

ORG 0100H

MAIN: MOV TMOD,#01H ;主函数

MOV TH0,#3CH

MOV TL0,#0B0H

SETB EA

SETB ET0

SETB EX0

SETB IT0

MOV R4,#30 ; 30秒倒计时

MOV R3,#0AH ;抢答结果

MOV R7,#0AH ;倒计时

MOV R6,#0AH

MOV R0,#30 ;R0存放倒计时的显示值,初值设为30 LCALL XIANSH2

STAR: setb P3.6 ;关喇叭

MOV R1,#00H ;选手按键,复位按键,无人抢答的标志位,用于从等待中断中返回到初始

;复位部分程序

FW: JNB P3.1,FW1 ;预判复位键按下否

JMP FW

FW1: LCALL DELAY ;去抖动,判断是否真按下

JNB P3.1,FW2

JMP FW

FW2: MOV R3,#0BH ;复位按下,则存放选手编号的R3,放入"灭"的代码

MOV A,R4 ;倒计时初值R4放入显示倒计时寄存器R0中MOV R0,A

LCALL XIANSH1

;开始部分程序

SAM: JNB P3.0,PK

JMP SAM

PK: JNB P3.0,PK1 ;扫描开始键是否按下

JMP SAM

PK1: MOV R3,#0BH ;按下则R3存"灭"代码,

SETB TR0 ;/启动定时器

MOV R2,#0AH ;中断次数设为10次,刚好1秒

HERE: CJNE R1,#01H,YY ;若R1中存放的选手按键标志位为1,则转入STAR

JMP STAR ;否则继续循环等待中断

YY: JMP HERE

;中断程序

IT0P: CLR TR0

DEC R2

CJNE R2,#05H,JX0 ; 中断5次后,开启扬声器

clr P3.6

JX0: CJNE R2,#00H,JX1 ;中断10次后,关闭扬声器,这样喇叭响0.5秒

setb P3.6

DEC R0

LCALL XIANSH1

MOV R2,#0AH ;R2中再存入中断次数

JX1: LCALL SAMO

CJNE R1,#01H,JX2 ;扫描选手按键标志位R1,未按下则转JX2 ,按下则返回

JMP RETURN

JX2: JNB P3.1,PK2

JMP CZ

PK2: LCALL DELAY ;检测复位键按下否

JNB P3.1,QFW

JMP CZ

QFW: MOV R1,#01H

JMP RETURN ;按下则返回

CZ: CJNE R0,#00H,CZ1 ;倒计时是否计时完毕

clr P3.6 ;及时完毕无人抢答则扬声器开启LCALL DELAY5 ;延时1秒

MOV R1,#01H ;R1设1

JMP RETURN ;中断返回

CZ1: MOV TH0,#3CH ;计时器初值重装

MOV TL0,#0B0H

SETB TR0

RETURN: RETI

;选手键盘扫描程序

SAMO: JNB P1.0,XS1

JNB P1.1,XS2

JNB P1.2,XS3

JNB P1.3,XS4 ;预扫描选手按键是否按下

JNB P1.4,XS5

JNB P1.5,XS6

JNB P1.6,XS7

JNB P1.7,XS80

RETURN2:RET ;未按下则返回

XS80: LJMP XS8

XS1: LCALL DELAY ;去抖动再判是否按下

JNB P1.0,XS11

JMP RETURN2

XS11: MOV R1,#01H

setb P3.6 ;按下则显示1号扬声器关闭MOV R3,#01H

LCALL XIANSH1

JMP RETURN2

XS2: LCALL DELAY

JNB P1.1,XS22

JMP RETURN2

XS22: MOV R1,#01H

setb P3.6 ;按下则显示2号扬声器关闭MOV R3,#02H

LCALL XIANSH1

JMP RETURN2

XS3: LCALL DELAY

JNB P1.2,XS33

JMP RETURN2

XS33: MOV R1,#01H

setb P3.6 ;按下则显示3号扬声器关闭MOV R3,#03H

LCALL XIANSH1

JMP RETURN2

XS4: LCALL DELAY

JNB P1.3,XS44

JMP RETURN2

XS44: MOV R1,#01H ;按下则显示4号扬声器关闭

setb P3.6

MOV R3,#04H

LCALL XIANSH1

JMP RETURN2

XS5: LCALL DELAY

JNB P1.4,XS55

JMP RETURN2

XS55: MOV R1,#01H

setb P3.6 ;按下则显示5号扬声器关闭MOV R3,#05H

LCALL XIANSH1

JMP RETURN2

XS6: LCALL DELAY

JNB P1.5,XS66

JMP RETURN2

XS66: MOV R1,#01H

setb P3.6 ;按下则显示6号扬声器关闭

MOV R3,#06H

LCALL XIANSH1

JMP RETURN2

XS7: LCALL DELAY

JNB P1.6,XS77

JMP RETURN2

XS77: MOV R1,#01H

setb P3.6

MOV R3,#07H ;按下则显示7号扬声器关闭

LCALL XIANSH1

JMP RETURN2

XS8: LCALL DELAY

JNB P1.7,XS88

JMP RETURN2

XS88: MOV R1,#01H

setb P3.6 ;按下则显示8号扬声器关闭

MOV R3,#08H

LCALL XIANSH1

JMP RETURN2

;显示程序

XIANSH1:MOV A,R0

MOV B,#0AH ;R0中存放的倒计时显示值除10 分出十位和个位

DIV AB

MOV R7,A ;十位存入R7中

MOV R6,B ;个位存入R6中

XIANSH2:SETB P0.4

CLR P0.5 ;选择选手编号的数码管

CLR P0.6

MOV A,R3

MOV DPTR,#SEGTAB ;调入代码

MOVC A,@A+DPTR

MOV P2,A ;显示选手编号

CLR P0.4

SETB P0.5 ;选择显示十位的数码管

CLR P0.6

MOV A,R7

MOV DPTR,#SEGTAB ;调入代码

MOVC A,@A+DPTR

MOV P2,A ;显示十位

CLR P0.4

CLR P0.5 ;选择显示个位的数码管

SETB P0.6

MOV A,R6

MOV DPTR,#SEGTAB ;调入代码

MOVC A,@A+DPTR

MOV P2,A ;显示个位

RET

;抢答时间设置程序

SHESH:INC R0 ;倒计时初值加1

CJNE R0,#31,BQL ;倒计时初值设置超过30 自动清零 MOV R0,#00H

BQL: MOV R3,#0BH

MOV A,R0 ;倒计时初值存入其专用寄存器R4中,这样可以全局不变,R0会倒计时中递减而改变

MOV R4,A

LCALL XIANSH1

RETI

;10ms延时程序(去抖动)

DELAY: MOV R7,#20

D1: MOV R6,#248

D2: DJNZ R6,D2

DJNZ R7,D1

RET

;1s延时程序(无人抢答报警)

DELAY5:MOV R7,#40

DL1: MOV R6,#50

DL2: MOV R5,#248

DL3: DJNZ R5,DL3

DJNZ R6,DL2

DJNZ R7,DL1

RET

;数码管显示调用代码

SEGTAB:DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,8EH,0FFH

//0,1,2,3,4,5,6,7,8,9,F,灭

END

附、参考文献

[1] 张毅刚.《单片机原理及应用》.高等教育出版社.2004

[2] 张鑫.《单片机原理及应用》.电子工业出版社.2005

[3] 曹国清.《数学电路与逻辑设计》中国矿业大学出版社.2004

[4] 任致程.《经典集成电路400 例》机械工业出版社.2002

[5] 薛栋梁.《单片机原理及应用》.中国水利水电出版社.2001

[6] 吴金戎,沈庆阳等.《8051 单片机实践与应用》.清华大学出版社.2001

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

竞赛抢答器设计报告

一、设计内容: 为了保证抢答竞赛的公正性、准确性、提高竞赛得分的透明性并能产生激烈的竞赛气氛,要求由电子电路实现一个多人参赛,具备限时开始抢答, 限时结束抢答, 抢答结束后有声、光指示并能加/减计分的“竞赛抢答器”。 二、主要要求及指标: 1. 设一个主持人按键, 供主持人宣布抢答开始. 抢答开始后, 四个抢答 按键才有效, 同时启动限时定时器。 2. 设四个抢答按键供四人抢答使用,第一个抢答键按下后要锁住抢答器, 并用声、光指示,要显示是几号按键抢到。后按的抢答键不起作用。 3. 安排倒计数定时器。开始后若预定时间内无人抢答,自动给出信号停止 抢答。倒计数定时器的时间可以随意预置。倒计数计数脉冲要准确。 4.设两个计分按键,加/减由主持人控制。 5.每组安排一个三位加/减可逆计数器实现计分,从预置的100分开始,答对者加10分,答错则扣10分。 三、设计思路及电路工作原理: 设计思路及原理 根据要求可以将电路分为三大部分,控制抢答结果的抢答电路;负责倒计时的倒计时电路;完成分数加减的计分电路。其中抢答电路最为重要,倒计时电路与计分电路都由抢答电路控制,倒计时电路与计分电路有很多相似的地方所用的芯片应该相似,关键在于如何将三个电路联系在一起。 抢答电路要实现的功能是在主持人开关控制下能够让四个选手抢答,并且在第一个人抢答之后其他人不能抢答。这就要求电路能够进行优先编码并且能够锁存优先编码。编码器使用74LS148能够实现四位的抢答,要实现锁存只需要将该芯片的使能端EI变成高电平。能够让主持人控制编码器可以通过基本RS触发器或者与非门实现,但是后者显然逻辑会比较复杂所以放弃。 倒计时电路,可以通过秒脉冲触发计数器的使其实现倒计时,同时要在有选手抢答后停止倒计时,就需要计数器有一个类似使能端的端口。使用74LS192芯片可以将秒脉冲接入DOWN端UP端接高电平能够实现单一的倒计时。需要倒计时停止的时候,可以给CLR端输入高电平,此时计时器清零。同时也可以使用

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

抢答器课程设计报告

抢答器课程设计报告 我们要掌握抢答器电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。 1、本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2、4名选手编号为1、2、 3、4各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1、2、3、4。 3、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 4、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 本设计主要由COMS系列数字集成电路CD4511、NE555等组成。其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 1、开关编码电路

电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上,其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码。 2、显示电路 这部分电路要求将编码电路送入8421码,译为十进制数并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD—十进制译码/驱动器,并带有锁存端口。 3、控制电路 这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其他选手按键操作无效;三是防止提前按键。 按下未按下“开始”按钮前,CD4511BLANKING引脚为低电平,CD4511不工作,可防止抢答者提前按键。当“开始”按下后,当所有抢答者开关均未按下时,锁存器输出全为低电平,该信号作为锁存器使能端LD的控制信号,使锁存器处于等待接收触发输入状态;当任一开关按下时,输出信号中必有一路为高电平,经逻辑或运算后U1A输出为高电平。一但有高电平输入,不管另一输入端状态如何,输出保持高电平不变,这个电平控制CD4511锁存端使其他按键操作无

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

数字式竞赛抢答器设计报告

数字式竞赛抢答器设 计报告 1、设计任务与要求 (1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 (2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 (3)设置一个主持人“复位”按钮。 (4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。 选做扩展功能: (5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。 2、设计原理

定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成各选手的得分显示功能。 定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 单元电路设计: (1)抢答电路 抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

八位竞赛抢答器课程设计报告

目录摘要 (2) 1 设计意义及要求 (3) 1.1 设计意义 (3) 1.2 设计要求 (3) 4 4 4 4 5 5 6 7 7 8 49 9 4.2 C语言源程序 (10) 5 软件仿真调试 (20) 6 总结 (21) 7 参考文献 (22)

摘要 数字技术作为当今世界上发展最快的一门科学,已被广泛地应用于计算机、自动控制、电子测量仪表、通信等各个领域。例如,在生活中,很多关于智力竞赛的电视节目的抢答环节就很好的应用了数字技术。 关于竞赛抢答器的设计,最重要的是完成当参赛选手们可以开始抢答后,每次只可能有一个人抢答成功这一功能。本实验设计中,以八位竞赛抢答器

八路竞赛抢答器设计 1 设计意义及要求 1.1 设计意义 在很多关于智力竞赛的电视节目上,经常会出现抢答环节,参赛选手们 30秒),当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,持续时间0.5S左右。 5.参赛选手在设定的时间内进行抢答,抢答有效,定时器工作停止,显示器上显示选手的编号和抢答的时间并保持到主持人将系统清除为止, 6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

2 方案设计 2.1 设计思路 2.1.1 模块化设计框架图 块集成了四个D触发器的74LS175芯片。 (3)数据编码部分的实现 编码部分是对锁存的数据进行编码,由于只有八个参赛选手,故只需要用八个不同的数字便可以代表所有的参赛选手,只要采用能对八位数据进行编码并能有八种或八种以上的输出的编码器即可达到要求。 (4)数据显示部分的实现 对于这样的一个仅需要显示数字的设计,很容易联想到使用七段数码管来

智力抢答器实验报告

单片机课程设计 实 验 报 告 班级:通信工程13-2班 学号:2013211576 姓名:陈立 指导老师:王琼 2016年6月20日~2016年7月4日

合肥工业大学课程设计任务书

设计课题智力竞赛抢答器 一课题背景 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。目前大多数抢答器均使用单片机及外围接口实现,基于单片机的智力竞赛抢答器系统框图如下图所示。 抢答开关电路分别设定选手抢答键若干个、主持人开始抢答键和复位键,每位选手对应一个抢答指示灯。系统工作后,首先由主持人按下开始键,单片机进入30秒倒计时,选手们开始抢答,如果在30秒内无人抢答,则自动放弃,进入下一题;若有人抢答,则单片机自动检测最先抢答选手信息并做出处理,封锁输入电路,禁止其他选手抢答,使选手对应的抢答指示灯点亮,LED显示器上同步显示抢答选手的编号,同时扬声器发声提示,表示抢答成功。此后,单片机进入90秒回答问题倒计时,若选手在90秒内回答完问题并回答正确,主持人按加分键,LED显示器上显示选手的得分;若选手回答完问题超时或回答错误,则主持人按减分键,LED显示器上显示选手的得分。主持人按下复位键,系统返回到抢答状态,进行下一轮抢答。 在主持人按下开始抢答键前,有选手提前按下抢答键时,视为抢答犯规,系统红色报警灯点亮,LED显示器显示超前抢答报警信息,同时扬声器发声提示抢答犯规。当有几位选手同时按下抢答键时,由于在时间上必定存在先后,系统将

自动锁存最先按键选手信号。 二课题设计要求 (1)基本要求 本课题硬件部分要求画出系统模块连接图,在实验平台上设计组成智力竞赛抢答器系统,并在其上调试自己设计、编制的程序,直到正确、完善达到要求为止。在软件程序设计方面,要完成以下基本内容:设计编写系统各模块的软件程序并调试通过,画出各程序模块的流程图。实现数据(选手编号)锁存和显示、超前抢答报警、抢答时间和回答问题时间倒记时显示、扬声器发生提示等基本功能。 (2)附加要求 附加要求则是根据学生学习单片机掌握和运用的情况选作,这给同学们开动脑筋发挥自己的创造性思维留出了空间。系统还可以增加以下功能: ①支持人可根据题目难易程度设置抢答限时时间、答题限时时间,而不是采用固定的抢答限时时间和答题限时时间。抢答限时时间和回答问题的时间设定在1~99秒内,通过键盘进行加减。 ②抢答限时倒计时和答题限时倒计时在达到最后5秒时进行声光报警,提示选手抢答剩余时间答题剩余时间。扬声器每秒响一次,红色发光二极管闪烁点亮,频率为0.5Hz。 ③抢答倒计时到达0时,报警,并锁定抢答开关禁止选手抢答。 ④增加计分功能,当答题结束后,根据选手的答题情况给选手进行相应的加减分;查询功能,实现每位选手的分值查询。 本次课题设计完成以上全部基本要求和附加要求,符合单片机课程设计的基本要求,并在此基础上额外实现了按键时响铃提示功能,具体要求实现以及操作见报告后半部分。

相关文档
最新文档