论文-低频函数信号发生器的设计

论文-低频函数信号发生器的设计
论文-低频函数信号发生器的设计

江苏信息职业技术学院

毕业设计说明书(论文)

设计(论文)题目:低频信号发生器的设计

专业: 电信信息工程技术

班级: 电信091

学号: 0901043136 姓名: 余崇池

指导教师: 石蓝

摘要:信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。目前使用的信号发生器大部分是函数信号发生器,且特殊波形发生器的价格昂贵。所以本设计使用的是AT89c51单片机构成的发生器,可产生三角波、方波、正弦波,波形的频率可用程序控制改变。在单片机的输出端口接DAC0832进行D/A转换,再通过运放进行波形调整,最后输出波形接在示波器上显示。本设计具有线路简单、结构紧凑、价格低廉、性能优越等优点。

关键词:信号发生器;单片机;波形调整

目录

第1 章绪论 (3)

1.1 课题背景 (3)

第2章低频信号发生器的方案研究 (3)

2.1 总体方案论证与设计 (3)

2.2模块结构划分 (4)

第3 章硬件电路的设计 (5)

3.1 基本原理 (5)

3.2各模块具体设计 (5)

3.2.1 AT89C51单片机介绍 (5)

3.2.2 D/A转换电路的设计 (7)

第4 章软件设计 (10)

4.1 软件总体设计 (10)

4.2 程序流程图 (10)

4.2.1 主函数流程图 (11)

4.2.2 键盘扫描程序 (11)

4.3 仿真过程 (17)

结论 (20)

参考文献 (20)

第 1 章绪论

1.1 课题背景

随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,许多信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率精度、多功能、自动化和智能化方向发展。

在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。

第2章低频信号发生器的方案研究

2.1 总体方案论证与设计

信号发生器的实现方法通常有以下几种:

方案一:用分立元件组成的函数发生器:通常是单函数发生器且频率不高,其工作不很稳定,不易调试。

方案二:可以由晶体管、运放IC等通用器件制作,更多的则是用专门的函数信号发生器IC 产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。

方案三:利用专用直接数字合成DDS芯片的函数发生器:能产生任意波形并达到很高的频

率。但成本较高。

方案四:采用AT89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对AT89C51单片机的各个I/O 口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 综合考虑,方案四各项性能和指标都优于其他几种方案,能使输出频率有较好的稳定性,充分体现了模块化设计的要求,而且这些芯片及器件均为通用器件,在市场上较常见,价格也低廉,样品制作成功的可能性比较大,所以本设计采用方案四。

2.2模块结构划分

本次设计所研究的就是对所需要的某种波形输出对应的数字信号,在通过D/A 转换器和单片机部分的转换输出一组连续变化的0~5V 的电压脉冲值。在设计时分块来做,按波形设定、D/A 转换、51单片机连接、键盘控制四个模块的设计。最后通过联调仿真,完成相应功能。

具体设计模块如图 模块介绍:

1.波形设定:对任意波形的手动设定

2.D/A 转换:主要选用DAC0832来把数字信号转换为模拟信号,在送入单片机进行处理。

3.单片机部分:最小系统

4.键盘:用按键来控制输出波形的种类和数值的输入

51单片机

键盘控制

DA 转换

波形设定

第 3 章 硬件电路的设计

3.1 基本原理

低频信号发生器系统主要由CPU 、D/A 转换电路、电流/电压转换电路、按键和显示电路、电源等电路组成。其工作原理为当按下第一个按键就会分别出现方波、三角波、正弦波。

3.2各模块具体设计

3.2.1 AT89C51单片机介绍

AT89C51是一种带4K 字节闪存可编程可擦除只读存储器(FPEROM —Flash Programmable and Erasable Read Only Memory )的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K 字节闪存可编程可擦除只读存储器的单片

AT89C51

复位电路

按键

DA 转换

电流电压转换

波形显示

机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

3.2.1.1 主要技术指标和特性

·与MCS-51 兼容

·4K字节可编程闪烁存储器

·寿命:1000写/擦循环

·数据保留时间:10年

·全静态工作:0Hz-24MHz

·三级程序存储器锁定

·128×8位内部RAM

·32可编程I/O线

·两个16位定时器/计数器

·5个中断源

·可编程串行通道

·低功耗的闲置和掉电模式

·片内振荡器和时钟电路

3.2.1.2 外部引脚

VCC:供电电压。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH 进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘

故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

3.2.2 D/A转换电路的设计

DAC0832是CMOS工艺制造的8位D/A转换器,属于8位电流输出型D/A转换器,转换时间为1us,片内带输入数字锁存器。DAC0832与单片机接成数据直接写入方式,当单片机把一个数据写入DAC寄存器时,DAC0832的输出模拟电压信号随之对应变化。利用D/A转换器可以产生各种波形,如方波、三角波、正弦波、锯齿波等以及它们组合产生的复合波形和不规则波形。

1.DAC0832主要性能:

◆输入的数字量为8位;

◆采用CMOS工艺,所有引脚的逻辑电平与TTL兼容;

◆数据输入可以采用双缓冲、单缓冲和直通方式;

◆转换时间:1us;

◆精度:1LSB;

◆分辨率:8位;

◆单一电源:5—15V,功耗20mw;

◆参考电压:-10—+10V;

DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。

该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图3-20

图3-20 DAC0832电路原理图

如图3-20所示,待转换的8位数字量由芯片的8位数据输入线D0~D7输入,经DAC0832转换后,通过2个电流输出端IOUT1和IOUT2输出,IOUT1是逻辑电

平为"1"的各位输出电流之和,IOUT2是逻辑电平为"0"的各位输出电流之和。另外,

ILE、、、和是控制转换的控制信号。

DAC0832由8位输入寄存器、8位DAC寄存器和8位D/A转换电路组成。输入寄存器和DAC寄存器作为双缓冲,因为在CPU数据线直接接到DAC0832的输入端时,数据在输入端保持的时间仅仅是在CPU执行输出指令的瞬间内,输入寄存器可用于保存此瞬间出现的数据。有时,微机控制系统要求同时输出多个模拟量参数,此时对应于每一种参数需要一片DAC0832,每片DAC0832的转换时间相同,就可采用DAC寄存器对CPU分时输入到输入寄存器的各参数在同一时刻开始锁存,进而同时产生各模拟信号。

控制信号ILE、、用来控制输入寄存器。当ILE为高电平,为低电平,

为负脉冲时,在LE产生正脉冲;其中LE为高电平时,输入寄存器的状态随数据输入线状态变化,LE的负跳变将输入数据线上的信息存入输入寄存器。

控制信号和用来控制8位A/D转换器。当为低电平,输入负脉冲时,则在LE产生正脉冲;其中LE为高电平时,DAC寄存器的输入与输出的状态一致,LE负跳变,输入寄存器内容存入DAC寄存器。

DAC0832的数据输出方式在微机应用系统中,通常使用的是电压信号,而

DAC0832输出的是电流信号,这就需要由运算放大器组成的电路实现转换。其中有输出电压各自极性固定的单位性输出和在随动系统中输出电压有正负极性的双极性输

出两种输出方式。3. DAC 0832同CPU的连接

微处理器与DAC0832之间可以不加锁存器,而是利用DAC0832内部锁存器,将CPU

通过数据总线直接向DAC0832输出的停留时间很短的数据保存,直至转换结束。

DAC0832同CPU的接口如图3-21所示.DAC0832作为微处理器的一个端口,用地址92H的选通作为和的控制信号,微处理器的写信号直接来控制和

图3-21 DAC0832和CPU连接电路

第 4 章软件设计

4.1软件总体设计

应用系统中的应用软件是根据系统功能要求而设计的,能可靠地实现系统的各种功能。

本系统的软件包括以下几个程序模块:初始化程序;键盘扫描程序与处理程序;定时器0服务程序;正弦波发生程序及其服务程序;三角波发生程序;方波发生程序。

4.2 程序流程图

4.2.1 主函数流程图

4.2.2 键盘扫描程序

开始

初始化

开始

无限调用键盘扫描程序

读P1口

结束

软件延时消除振动

有键按下

中断子程序

Y

N

确认有,就读取P1口得值

按键是否松开

Y

N

进行键值类型判

结束

4.2.3 中断子程序

开始

定时器初始化

是正弦?

Y

N

向DA 转换器送值 并指向下一个地址数据

地址大于255

Y

N

地址置0

是三角波?

Y

N

向DA 转换器送c 值 如果c<200

Y

N

向DA 转换器送

200 - (c-200)值

C++

C>400

Y

N

C=0 是方波?

Y N

算占空比

D 比设定值小?

向DA 转换器送0

Y

N

向DA 转换器

送1

d>255

Y N

d 置0 结束

其他子程序的相对简单,流程图就不一一画出了。

4.2.4 相应程序

#include

#include

#define DA0832 XBYTE[0x7fff]

#define uchar unsigned char

#define uint unsigned int

uint wave_choice=1,c=0,zk=1,k=0,d=0,f=1,b=0,t=0;

uchar code tosin[256]={

0x80,0x83,0x86,0x89,0x8d,0x90,0x93,0x96,0x99,0x9c,0x9f,0xa2, 0xa5,0xa8,0xab,0xae,0xb1,0xb4,0xb7,0xba,0xbc,0xbf,0xc2,0xc5, 0xc7,0xca,0xcc,0xcf,0xd1,0xd4,0xd6,0xd8,0xda,0xdd,0xdf,0xe1, 0xe3,0xe5,0xe7,0xe9,0xea,0xec,0xee,0xef,0xf1,0xf2,0xf4,0xf5,

0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfd,0xfe,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfd,

0xfd,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf4,0xf2,0xf1,

0xef,0xee,0xec,0xea,0xe9,0xe7,0xe5,0xe3,0xe1,0xde,0xdd,0xda, 0xd8,0xd6,0xd4,0xd1,0xcf,0xcc,0xca,0xc7,0xc5,0xc2,0xbf,0xbc, 0xba,0xb7,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99, 0x96,0x93,0x90,0x8d,0x89,0x86,0x83,0x80,0x80,0x7c,0x79,0x76, 0x72,0x6f,0x6c,0x69,0x66,0x63,0x60,0x5d,0x5a,0x57,0x55,0x51, 0x4e,0x4c,0x48,0x45,0x43,0x40,0x3d,0x3a,0x38,0x35,0x33,0x30, 0x2e,0x2b,0x29,0x27,0x25,0x22,0x20,0x1e,0x1c,0x1a,0x18,0x16, 0x15,0x13,0x11,0x10,0x0e,0x0d,0x0b,0x0a,0x09,0x08,0x07,0x06, 0x05,0x04,0x03,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02,0x02,0x03,0x04,0x05, 0x06,0x07,0x08,0x09,0x0a,0x0b,0x0d,0x0e,0x10,0x11,0x13,0x15, 0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x25,0x27,0x29,0x2b,0x2e, 0x30,0x33,0x35,0x38,0x3a,0x3d,0x40,0x43,0x45,0x48,0x4c,0x4e, 0x51,0x55,0x57,0x5a,0x5d,0x60,0x63,0x66 ,0x69,0x6c,0x6f,0x72, 0x76,0x79,0x7c,0x80 };/*正弦波码*/

void time0_int(void) interrupt 1 //中断服务程序

{

t=(65536-1000000 / f)/256;

TH0 = t / 256; //定时器高八位值

TL0= t % 256; //定时器低八位值

TR0 = 0;

if(wave_choice == 1)

{

DA0832= tosin[b]; //正弦波

b++;

if(b>255)

b=0;

}

else if( wave_choice == 2 ) //三角波

{

if(c <= 200)

{

DA0832= c;

}

else

{

DA0832= 200 - (c-200);

}

c ++;

if(c>400)

c=0;

}

else if( wave_choice == 3 ) // 方波

{

k = zk * 256 / 100;

d ++;

if(d <= k)

{

DA0832= 0x00;

}

else

DA0832= 0xff;

if(d>255)

d=0;

}

TR0 = 1;

}

void key1(){

if(wave_choice<4)

wave_choice++;

else wave_choice=1;

}

void key2(){

if(f<=100)

f++;

}

void key3(){

if(f>1)

f--;

}

void key4(){

if(zk<100)

zk++;

}

void key5(){

if(zk>1)

zk--;

}

void delay(){

uchar i,j;

for(i=256;i>0;i--)

for(j=256;j>0;j--);

}

void judge()

{

uchar Y;

P1 = 0xff;

if(P1!=0xff) //判断是否有键按下

{

delay(); //延时,软件去干扰

if(P1!= 0xff) //确认按键按下X = P1;

{ Y=P1; //保存列扫描时有键按下时状态while(P1!=0xff)

{;}

switch ( Y ) //判断键值(那一个键按下)

{

case 0xfe: key1(); break;

case 0xfd: key2(); break; //对键值赋值

case 0xfb: key3(); break;

case 0xf7: key4(); break;

case 0xef: key5(); break;

}

}

}

}

void main(){

TMOD=0X01;

TH0 =0XF0;//1S

TL0 =0XBE;

IE=0x82;

TR0=1 ;

while(1)

{

judge();

}

}

4.3 仿真过程

4.3.1 各个波形

图一正弦波

图二三角波

图三方波

4.3.2 波形修改

图四正弦波增加频率

图五增加方波的占空比

低频函数信号发生器的设计

低频信号发生器的方案 概述:采用A T89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对A T89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 模块结构划分 本次设计所研究的就是对所需要的某种波形输出对应的数字信号,在通过D/A转换器和单片机部分的转换输出一组连续变化的0~5V的电压脉冲值。在设计时分块来做,按波形设定、D/A转换、51单片机连接、键盘控制四个模块的设计。最后通过联调仿真,完成相应功能。 具体设计模块如图 模块介绍: 1.波形设定:对任意波形的手动设定 2.D/A转换:主要选用DAC0832来把数字信号转换为模拟信号,

在送入单片机进行处理。 3.单片机部分:最小系统 4.键盘:用按键来控制输出波形的种类和数值的输入 硬件电路的设计 基本原理 低频信号发生器系统主要由CPU 、D/A 转换电路、电流 / 电压转换电路、按键和显示电路、电源等电路组成。其工作原理为当按下第一个按键就会分别出现方波、三角波、正弦波。 D/A 转换电路的设计 DAC0832是CMOS 工艺制造的8位D/A 转换器,属于8位电流输出型D/A 转换器,转换时间为1us ,片内带输入数字锁存器。DAC0832

与单片机接成数据直接写入方式,当单片机把一个数据写入DAC寄存器时,DAC0832的输出模拟电压信号随之对应变化。利用D/A转换器可以产生各种波形,如方波、三角波、正弦波、锯齿波等以及它们组合产生的复合波形和不规则波形。 1.DAC0832主要性能: ◆输入的数字量为8位; ◆采用CMOS工艺,所有引脚的逻辑电平与TTL兼容; ◆数据输入可以采用双缓冲、单缓冲和直通方式; ◆转换时间:1us; ◆精度:1LSB; ◆分辨率:8位; ◆单一电源:5—15V,功耗20mw; ◆参考电压:-10—+10V; DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图3-20

信号发生器论文(DOC)

函数信号发生器

函数信号发生器 1.概述 1.1 任务说明 1.设计、调试方波、三角波、正弦波发生器 2.输出波形:方波、三角波、正弦波 3..频率范围三段:10~100Hz,100 Hz~1KHz,1 KHz~10 KHz 4.正弦波U≈3V,三角波U≈5V,方波U≈14V 1.2 信号发生器发展现状 随着信息科技的发展,在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,这就需要能产生高频信号的振荡器。 在电子工程中,常常用到正弦信号,作为信号源的振荡电路,主要的要求是频率准确度高、频率稳定性好、波形失真小和振幅稳定度高等。 在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火,超声波焊接,超声诊断,核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。可见,正弦波振荡电路在各个科学技术部门的应用是十分广泛的。 正弦波振荡电路广泛应用于无线电通讯、广播电视,工业上的高频感应炉、超声波发生器、正弦波信号发生器等。正弦波振荡电路用来产生一定频率和幅值的正弦交流信号。它的频率范围很广,可以从一赫以下到几百兆以上;输出功率可以从几毫瓦到几十千瓦;输出的交流电是从电源的直流电转换而来的。 1.3 信号发生器的分类 信号发生器用途广泛、种类繁多,它分为通用信号发生器和专用信号发生器两大类。专用仪器是为某种专用目的而设计制作的,能够提供特殊的测量信号,如调频立体声信号发生器、电视信号发生器等。通用信号发生器应用面广,灵活性好,可以分为以下几类: 1、按发生器输出信号波形分类 按照输出信号波形的不同,信号发生器大致分为正弦信号发生器、函数信号发生器、脉冲信号发生器和随机信号发生器。应用最广泛的是正弦信号发生器。正弦信号是使用最广泛的测试信号。这是因为产生正弦信号的方法比较简单,而且用正弦信号测量比较方便。函数信号发生器也比较常用,这是因为它不仅可以输出多种波形,而且信号频率范围较宽。脉冲信号发生器主要用来测量脉冲数字电路的工作性能和模拟电路的瞬态响应。随机信号发生器即噪声信号发生器,用来产生实际电路和系统中的模拟噪声信号,借以测量电路的噪声特性。 2、按工作频率分类 按照工作频率的不同,信号发生器分为超低频、低频、视频、高频、甚高频、超高频信号发生器。 3、按调制方式分类 按调制方式的不同,信号发生器分为调幅、调频、调相、脉冲调制等类型。

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

低频函数信号发生器设计实验报告 精品

实验报告 课程名称:电子系统综合设计指导老师:周箭成绩:实验名称:低频函数信号发生器(预习报告)实验类型:同组学生姓名: 一、课题名称 低频函数信号发生器设计 二、性能指标 (1)同时输出三种波形:方波,三角波,正弦波; (2)频率范围:10Hz~10KHz; (3)频率稳定性:; (4)频率控制方式: ①改变RC时间常数; ②改变控制电压V 1实现压控频率,常用于自控方式,即F=f(V 1 ),(V 1 =1~10V); ③分为10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。 (5)波形精度:方波上升下降沿均小于2μs,三角波线性度δ/V om <1%,正弦波失真度

; (6)输出方式: a)做电压源输出时 输出电压幅度连续可调,最大输出电压不小于20V 负载R L =100Ω~1KΩ时,输出电压相对变化率ΔV O /V O <1% b)做电流源输出时 输出电流幅度连续可调,最大输出电流不小于200mA 负载R L =0Ω~90Ω时,输出电流相对变化率ΔI O /I O <1% c)做功率源输出时 最大输出功率大于1W(R L =50Ω,V O >7V有效值) 具有输出过载保护功能 三、方案设计 根据实验任务的要求,对信号产生部分,一般可采用多种实现方案:如模拟电路实现方案、数字电路实现方案、模数结合的实现方案等。 数字电路的实现方案 一般可事先在存储器里存储好函数信号波形,再用D/A转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A转换器输入数字量的速率来实现的。 数字电路的实现方案在信号频率较低时,具有较好的波形质量。随着信号频率的提高,需要提高数字量输入的速率,或减少波形点数。波形点数的减少,将直接影响函数信号波形的质量,而数字量输入速率的提高也是有限的。因此,该方案比较适合低频信号,而较难产生高频(如>1MHz)

函数信号发生器设计论文.

四川师范大学成都学院通信原理课程设计 目录 前言 (1) 1 函数信号发生器设计任务 (1) 1.1 设计提议 (1) 1.2 方案论证与研究 (1) 2 方案设计 (2) 2.1 项目指标 (2) 2.1.1 电源参数 (2) 2.1.2 工作频率 (2) 2.2 方案比较及选择 (2) 3 设计理论 (3) 3.1 函数发生器的结构组成 (3) 3.2 方波信号 ........................................................... 3 如图3.2-1由运算放大器和电容积分电路、Rf组成的,输出电压最终反馈到运 放反相输出端,因此积分电路有负反馈和延迟的作用。 (3) 3.3 正弦波信号 (4) 3.4 三角波信号 (6) 4 RC振荡电路设计 (7) 5 放大器功率及ICL8038介绍 (9) 5.1 放大器功率 (9) 5.2 ICL8038原理介绍 (10) 6 致谢 (11) 7 总结及体会 (12) 附录1 系统原理图 (13) 附录2 系统元件清单 (14) 附录3 系统PCB图 (15) I 四川师范大学成都学院通信原理课程设计参考文 献 (16) II 四川师范大学成都学院通信原理课程设计函数信号发生器设计论文 前言 函数信号发生器的制作是以集成块ICL8038为核心器件,制作的成本也相对较低。是适合学生学习、使用电子技术测量。ICL8038可以输出具有多种波形的精

密振荡集成电路,要想产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号只需要个别外部元件。输出波形的占空比和频率还可以由电阻或电流控制。其次由于此芯片具有调制信号的输入端,所以可以用作频率调制,针对于低频信号。 函数信号发生器有着不同的用途,其电路中使用的器件是分离器件的可以产生三种或多种波形的函数发生器;而产生正弦波、方波、三角波也有多种方案,是集成器件电路,如先产生正弦波,根据其周期性内部某种确定的函数关系,再将正弦波通过整形电路转化为方波,最后三角波通过积分电路形成。也可以先产生方波或三角波,再将方波或三角波转化成正弦波。随着电子技术日益发展,新器材、新材料越发渐好,随着期间可选性的增加,函数信号发生器开发出更多的新款式,比如在技术上很可靠的产生正弦波、三角波、方波的主芯片ICL8038。所以,可以选择多种多样的方案,原则上是可行的。 1 函数信号发生器设计任务 1.1 设计提议 产品开发、工业生产、科学研究等领域都的使用函数信号发生器,它常用的基本测试信号有锯齿波和正弦波、矩形波、三角波。常作为时基电路的锯齿波信号在示波器等仪器中利用荧光屏显示图像。例如,想要通过示波器荧光屏上观察到被测不失真地信号波形,通过产生锯齿波电压使的电子束在水平方向匀速搜出荧光屏。方波,三角波都有着不同的重要作用,而函数信号发生器是指一种能自发的产生方波、正弦波、三角波和锯齿波阶梯波等电压波形的仪器或电路。因此,提议设计一种能产生三角波、正弦波、方波的函数信号发生器。 1.2 方案论证与研究 函数信号发生器用途较多,其电路中使用的器件是分离器件的可以产生三种或多种波形的函数发生器;而产生正弦波、方波、三角波也有多种方案,是集成器件电路,如先产生正弦波,根据其周期性内部某种确定的函数关系,再将正弦波通过整形电路转化 1 四川师范大学成都学院通信原理课程设计为方波,最后三角波通过积分电路形成。也可以先产生方波或三角波,再将方波或三角波转化成正弦波。随着电子技术日益发展,新器材、新材料越发渐好,随着期间可选性的增加,函数信号发生器开发出更多的新款式,比如在技术上很可靠的产生正弦波、三角波、方波的主芯片ICL8038。所以,可以选择多种多样的方案,原则上是可行的。 2 方案设计 2.1 项目指标 2.1.1 电源参数 ● 输入:双电源 +12V、-12v

函数信号发生器 开题报告

毕业设计(论文)开题报告题目函数信号发生器 专业名称电子信息工程 班级学号118501106 学生姓名蔡伟攀 指导教师邓洪峰 填表日期2015年 3月25日

说明 开题报告应结合自己课题而作,一般包括:课题依据及课题的意义、国内外研究概况及发展趋势(含文献综述)、研究内容及实验方案、目标、主要特色及工作进度、参考文献等内容。以下填写内容各专业可根据具体情况适当修改。但每个专业填写内容应保持一致。

一、选题的依据及意义 1.选题依据 信号发生器(signal generator)又称信号源或振荡器,是输出供给量,产生频率、幅度、波形等主要参数都可调的信号,用于测量的信号发生器指的是能够产生不同频率、不同幅度的规则或不规则的信号源,在电子系统的测量、实验、校准和维护中的得到广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波甚至任意波形,各种波形曲线均可用三角函数方程式表示。如在制作和调试音频功率放大器时,就需要人为的输入一个标准音频信号,才能测量功率放大器的输出,得到功率放大器的相关参数,此时要用到的这个标准音频信号就是由信号发生器提供的,可见信号发生器的应用很广。信号发生器其作用是:测量网络的幅频特性、相频特性;测量网络的瞬态响应;测量接收机;测量元件参数等。 信号源可以分为通用和专用两种,通用信号源包括:正弦信号源、脉冲信号源、函数信号源、高频信号源、噪声信号源;专用信号源包括:电视信号源、编码脉冲信号源。信号发生器根据输出波形可以分为:正弦信号发生器、函数信号发生器、脉冲信号发生器和噪声信号发生器。 (1)正弦信号发生器 主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按照其不同性能和用途还可以分为低频(20Hz~10MHz)信号发生器、高频(100kHz~300MHz)信号发生器、微波信号发生器、扫频和程控发生信号发生器、频率合成式信号发生器等。 (2)函数(波形)信号发生器 能产生特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可以从几微赫兹到几十兆赫兹。除供通信、仪表和自动控制系统测试外,还广泛用于其他非电测量领域。 (3)脉冲信号发生器 能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。(4)随机信号发生器 通常又分为噪声信号发生器和伪随机信号发生器两种。噪声信号发生器的主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测定噪声系数;用随机信号代替正

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

函数信号发生器

函数信号发生器 函数信号发生器 作者:华伟锋卞蕊樊旭超 2013-8-8

函数信号发生器 摘要 直接数字频率合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通信等领域有着广泛的应用前景。本文介绍了DDS(直接数字频率合成)的基本原理和工作特点,提出以DDS芯片AD9850芯片为核心利用MSP430F5438单片机控制,辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能产生标准的正弦波、方波与三角波(锯齿波),波形可手动切换,频率步进可调,软件系统采用菜单形式进行操作,LCD液晶显示可实时显示输出信号的类型、幅度、频率和频率步进值,操作方便明了,还增加了很多功能。 关键词:AD9850;信号发生器;MSP430F149单片机;DDS;LCD液晶; Abstact:Direct Digital Synthesis (DDS) is an important frequency synthesizer technology, with high resolution, fast frequency conversion, etc., in radar and communications and other fields have a wide range of applications. This article describes the DDS (direct digital frequency synthesis) of the basic principles and work, we proposed to DDS chip AD9850 chip as the core using MSP430F5438 MCU control, supplemented by the necessary peripheral circuits to form a stable output waveform, high precision signal generator . The signal generator can generate standard primary sine wave, square wave and triangular wave (sawtooth), the waveform can be manually switched, frequency step adjustable software system used to operate the menu form, LCD liquid crystal display can be real-time display of the output signal type , amplitude, frequency and frequency step value, easy to understand, but also adds a lot of functionality. Key words:AD9850; signal generator; MSP430F5438MCU; DDS; LCD liquid crystal;

低频信号发生器设计报告

低频信号发生器设计报告 一.设计要求 (一)设计题目要求 1.分析电路的功能并设计电路的单元电路 2.查找图中相应元件的参数,找出国外对应元件的型号 3.用EWB或Multisim软件进行电路仿真,打印仿真原理图和仿真结果 4.用A3图纸绘出系统电路原理图 (二)其他要求 1.必须独立完成设计课题 2.合理选用元器件 3.要求有目录、参考资料、结语 4.论文页数不少于20页 二.设计的作用、目的 (一)设计的作用 低频信号发生器是电子测量中不可缺少的设备之一。完成一个低频信号发生器的设计,可以达到对模拟电路知识较全面的运用和掌握。 (二)设计的目的 电子电路设计及制作课程设计是电子技术基础课程的实践性教学环节,通过该教学环节,要求达到以下目的: 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力和综合分析问题、解决问题的能力; 2.基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力;3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

三.设计的具体实现 (一)系统概述 根据课题任务,所要设计的低频信号发生器由三大部分组成: ⑴正弦信号发生部分 ⑵信号输出部分 ⑶稳幅部分 其中由正弦信号发生部分的电路产生所需要的正弦信号,由输出电路将信号放大后进行输出,再由稳幅电路部分从输出的信号采样反馈回信号发生部分进行稳幅。 1.正弦信号发生部分可以有以下实现方案: ⑴以晶体管(晶体管(transistor)是一种固体半导体器件,可以用于检波、整流、放大、开关、稳压、信号调制和许多其它功能。开关速度可以非常快) 为核心元件,加RC(文氏桥或移相式)或变压器反LC(馈式、电感三点式、电容三点式、晶振等)选频网络以及稳幅电路等构成的分立元件正弦波振荡电路。这种电路的优点是简单、廉价,但由于采用分立元件,稳定性较差,元件较多时调节也较麻烦。

单片机信号发生器设计--论文

12 目录 1. 系统设计 1.1 设计要求 1.2方案设计与论证 1.2.1 信号发生电路方案论证 1.2.2 单片机的选择论证 1.2.3 显示方案论证 1.2.4 键盘方案论证 1.3 总体系统设计 1.4 硬件实现及单元电路设计 1.4.1 单片机最小系统的设计 1.4.2 波形产生模块设计 1.4.3 显示模块的设计 1.4.4 键盘模块的设计 1.5 软件设计流程 1.6源程序 2. 输出波形的种类与频率的测试 2.1 测试仪器及测试说明 2.2 测试结果 3. 设计心的及体会 4. 附录 4.1 参考文献 4.2 附图

1、系统设计 经过考虑,我们确定方案如下:利用AT89S52单片机采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,通过键盘来控制三种波形的类型选择、频率变化,最终输出显示其各自的类型以及数值。 本系统利用单片机AT89S52采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过D/A转换器DAC0808将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产1Hz—3kHz的波形。通过键盘来控制三种波形的类型选择、频率变化,并通过液晶屏1602显示其各自的类型以及数值,系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分,其中尤其对数/模转换部分和波形产生和变化部分进行详细论述。 1.1、设计要求 1)、利用单片机采用软件设计方法产生三种波形 2)、三种波形可通过键盘选择 3)、波形频率可调 4)、需显示波形的种类及 1.1 课题的来源与技术背景 不论是在生产还是在科研与教学上,信号发生器都是电子工程师仿真实验的最佳工具。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类,因此开发信

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

基于单片机的低频信号发生器设计

龙源期刊网 https://www.360docs.net/doc/de12247866.html, 基于单片机的低频信号发生器设计 作者:任小青王晓娟田芳 来源:《现代电子技术》2014年第16期 摘要:主要介绍以AT89C51单片机为核心部件的低频信号发生器的设计方法及工作原理。系统采用单片机扩展外部存储器和DAC接口技术,简化了仪器硬件设计。通过波形选择电路读取波形信号经离散化处理之后的波代码,并通过D/ A 转换,还原成所需要的波形。通过改变存储器输出波代码的速度来调节输出信号的频率,改变放大器的放大倍数来调节输出信号的幅值。此外还讨论了波形离散化处理方法及数据采样点数与存储容量的关系,并给出了 系统结构图和软件框图。 关键词:低频信号;数据离散化;幅值;典型信号 中图分类号: TN710?34 文献标识码: A 文章编号: 1004?373X(2014)16?0014?04 Design on low?frequency signal generator based on SCM REN Xiao?qing1, WANG Xiao?juan1, TAN Fang2 (1. School of Mechanical Engineering, Qinghai University, Xining 810016, China; 2. Modern Education Technology Center, Qinghai University, Xining 810016, China) Abstract: The design approach and working principle of a low?frequency signal generator based on AT89C51 are introduced. The hardware design was simplified by using external memory extended with SCM and DAC interface technology. The wave code after discretization processing of waveform signal is read out though a waveform selection circuit, and reverted to the needed waveform by the D/A converter. The output signal frequency is adjusted by changing the wave code output speed of the memory. The amplitude is adjusted by changing the magnification of the amplifier. The waveform discretization processing method, and the relation between data sampling number and storage capacity are discussed. The system structure chart and software flow chart are given. Keywords: low?frequency signal; data discretization; amplitude; typical signal 0 引言 在工业测量控制系统的开发过程中,常需要采用信号发生器为控制系统提供输入信号来 模拟实际输入,并根据输出的频率响应特性来对系统进行调校。该系统不但能提供多种波形信号,而且信号的频率和幅值的大小也很容易控制。用它来模拟多种工况下的真实输入信号, 以达到降低开发成本、提高项目开发效率的目的。本文介绍了以AT89C51单片机为控制核心

信号发生器论文

目录 一设计任务与要求 (1) 二方案设计及论证 (1) 三单元电路设计与参数计算 (3) 3.1单片机最小系统 (3) 3.2数模转换电路 (4) 3.3运算放大器电路和低通滤波电路 (5) 3.4显示电路 (6) 3.5键盘显示模块的设计 (7) 3.6软件设计流程 (7) 3.7软件仿真 (8) 四安装与调试 (11) 4.1调试过程 (11) 4.2出现的问题与解决的方法 (11) 4.3调试结果 (12) 五性能分析与测试 (13) 5.1测试仪器 (13) 5.2测试数据 (13) 5.3性能总结 (14) 六结论与心得 (14) 参考文献 (15) 附录1仿真图 (15) 附录2源程序 (16)

一、 设计任务与要求 信号发生器又称信号源或振荡器,是现今各种电子电路实验设计中不可或缺的仪器设备之一。按信号波形可分为正弦信号、函数信号、脉冲信号和随机信号发生器四类;按频率覆盖范围分为低频信号发生器、高频信号发生器和微波信号发生器;按输出电平可调节范围和稳定度分为简易信号发生器、标准信号发生器和功率信号发生器;按频率改变的方式分为调谐式信号发生器、扫描式信号发生器、程控式信号发生器和频率合成式信号发生器等。 本课程设计要求利用单片机构造低频信号发生器,可产生正弦波、方波和三角波三种波形,再通过/D A 转换器把数字信号转变成模拟信号,经运算放大器输出到示波器,与此同时,外接LCD 显示输出信号的类型和频率。 ● 基本要求 (1) 用单片机实现波形的输出; (2) 用LCD 显示输出波形的类型和频率; (3) 输出波形中不能产生尖峰干扰; (4) 按键可切换波形; (5) 输出频率范围:110Hz KHz ; (6) 误差要求:正弦波频率误差1%<,方波上升沿和下降沿的时间均应小于2s μ, 频率误差0.1%<,三角波失真率3%≤; (7) 响应时间15s <。 ● 拓展功能 (1) 实现输出波形的幅值可调:输出电压范围012V 连续可调; (2) 实现输出波形频率可调:110Hz KHz 可调。 二、 方案设计及论证 设计一个由单片机控制的信号发生器。运用单片机系统控制产生方波、三角波、正弦波。信号发生器所产生的波形的频率、幅度均可调节。并可通过按键切换信号的波形。并用LCD 显示输出波形的类型和频率。 ● 总体方案 方案1:利用8951AT C 单片机和数模转换器件0832DAC 产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。至于波形的产生,首先产

相关文档
最新文档