倒计时电路

概述

倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。

设计思路: 用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器,CMOS电路组成秒/分选择器,另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电气开关断开,当计时完毕时,用电气开关闭合。

目录

1.设计任务及目的 ..............................................................................................

2.倒计时计数器组成及原理 ...................................................................................

2.1倒计时计数器 ...................................................................................................

2.2工作原理 (4)

3.拟定设计方案 (5)

3.1 用proteus进行仿真设计 .................................................................................

3.2计时器电路: ...................................................................................................

3.3控制电路...........................................................................................................

3.4 报警电路..........................................................................................................

4.使用手册..............................................................................................................

5.整体电路图..........................................................................................................

6.课程设计总结 (10)

1.设计任务及目的

设计任务:

1).构思设计方案及实现方式。

2).对电路的原理进行介绍。

3).在仿真软件中绘制出达到设计目的的完整电路图,并使电路图简洁,整齐。

4).运行仿真电路,仔细查找电路漏洞及冲突,并予以修正,完成设计。 设计目的:

1).具有9999秒倒计时功能;

2).由外部开关控制电路计时,置数功能;

3).能通过外部开关使电路暂停计时;

4).当倒计时电路计时完成时,发出报警信号,拨动开关能关闭报警信号;

5).计时到0是电路自动停止计时。

2.倒计时计数器组成及原理

2.1倒计时计数器

倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数控制置数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下。

2.2工作原理? 用四片减法计数

用七段数码管显示计数;控制电路通过控

制减法计数器的控制端实现对电路保留、启动、置数、清零/复位和暂停/继续计数功能的控制;利用JK 触发器的翻转状态特性实现闪烁报警功能。

3.拟定设计方案

3.1 用proteus 进行仿真设计

Proteus仿真软件中提供了丰富的硬件数据可供选择,它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。通过proteus可以及时仿真实现电路设计功能并及时发现存在的问题进行改正,可以确保设计的电路能够正常实现应有的功能。

3.2计时器电路:

设计实现倒计时功能。

图2.74ls192构成的四位倒计时器

计时器的计时电路由74ls192构成,74ls192为十进制加/减计数芯片,在本设计中并未使用置数端置数,故D0-D3、PL悬空;将DN(减计数脉冲输入端)与clk(脉冲信号)相连,再将74ls192的输出端Q0-Q3七段码显示器的输入端相连,即可构成一位十进制倒计时电路,做一个与之相同的电路,但PN端悬空,用第一个电路的TCD端(减计数输出端)与之DN端相接,即可构成两位十进制倒计时电路,多位十进制倒计时电路的构成方法依此类推,如图2所做的倒计时电路。

3.3控制电路

设计实现倒计时电路的控制功能。

图3.控制电路

先介绍一下倒计时电路所使用的置数方法,如图5所示,将74ls192的UP 端(加计数脉冲输入端)与一个常开按钮开关相接,开关另一段接地,当按下开关,相当于UP端输入一个脉冲信号,电路进行一次加计数;通过加计数的方法完成对电路的置数,如要置数为4则按四次开关即可达到目的。

该控制电路主要由一个计数器和一个2-4线译码器组成,根据需要该电路共需要控制置个位、十位、百位、千位和开始计数5种操作,所以将计数器设置为5位计数器;将计数器的Q2和Q0输出端做与非,将结果接到计

数器的清零端,即可构成5位计数器,由图中的上开关控制计数器计数;将计数器的Q0和Q1与译码器的A、B端相接,用计数器的输出选择译码器的输出端,将译码器的四个输出端依此与图2中的4个74ls192的UP 端相连,下开关与译码器的E端相连;再用七段码显示器显示计数器输出;将五位计数器的Q2输出端和脉冲发生器的输出做与非,其结果与个位倒计时器的减计数脉冲输入端相接;当控制电路的计数器输出4时,其倒计时器的个位才有脉冲输入,这样就能实现电路暂停和开始计数的功能;

控制电路通过上开关让五位计数器计数,选通不同的译码器输出端,再由下开关控制译码器输出信号,译码器与倒计时器的加计数端相连,即可通过下开关控制的192芯片进行加计数,通过上开关选中不同的192芯片。

3.4 报警电路

当电路倒计时完毕时,需要发出警报,其电路如图4所示;

图4.报警电路

在介绍报警电路之前,先介绍一下到计时电路到零时如何自动停止,当74ls192出现借位时,TCD端会输出一个低脉冲,74ls192的清零端是高电平有效,将TCD端通过一个非门和192清零端相接,当电路倒计时到零时,TCD端输出低脉冲经非门变为高脉冲,芯片清零,TCD端输出低脉冲,芯片清零,即可达到完成倒计时自动停止的目的;对于四位的倒计时器,只需将千位的TCD端接非门后与所有的清零端相接,就可达到自动停止的目的。

报警电路为D触发器接非门构成的T触发器,将CLK端与倒计时器的千位TCD端相连即可实现报警。

4.使用手册

电路实现0000-9999的最大计数,计数时间间隔为1s。通过置数能完成小于9999秒的任意时间的倒计时。

该计数器主要由两开关控制(见图5),由最右侧的显示器显示工作状态(由0、1、2、3、4表示),按动上开关逐次循环改变工作状态;其中0、1、2、3分别表示对个位、十位、百位、千位置数,选中0123中的一个工作状态之后按动下开关即可对对应的位进行置数(按一下加一);当工作状态选中4,则计时开始。当计时完毕后led灯会闪烁,按动上开关使工作状态为0123中的任一个即可停止报警,电路也可以通过这样的方式暂停计时。

5.整体电路图

图5.整体电路

6.课程设计总结

通过这本次课程设计,熟悉了isis电路仿真软件,对其功能和仿真有了一定的了解。对本次设计中使用的74ls192,74ls139等芯片有了更深入的了解。对其功能,使用方式有了掌握。

在设计电路的过程中遇到了许多问题,一些问题并没有得到解决如:电路本身除了秒计时功能外,还构思过分计时功能,由控制电路控制,但最终该功能没有实现,因为电路冲突,在多次改进未果的情况下被放弃;即使是最后的成品电路也存在缺陷,如在第一次接通电路时,报警led为灭(在未完成倒计时情况下),完成倒计时后LED闪烁,但在之后的计时过程中,LED常亮(发出警报时闪烁),

电路最好的部分是由计时器构成的控制电路,他将复杂控制操作变得简单,并且在理论上可以让电路拥有多种功能,由控制器选择所要使用的功

能,如可以通过控制电路让本设计既具有加计数功能又有减计数功能,由控制器控制,这就大大的增加了电路的扩展性。

通过本次课程设计,我深切的感到了自己对组合逻辑和时序逻辑的不了解,特别是其连接部分,对芯片功能及使用的不熟悉,不过能够独立设计和完成这个电路是很高兴的,它也让我注意到以前学习中没有注意到的实际应用部分,总的来说受益匪浅。

24秒倒计时器的设计和制作(停在00)

24秒倒计时器的设计和制作 一、计时器概述 1、计时器的特点及应用 24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。 2、设计任务及要求 1、用小规模集成电路设计24秒倒计时电路; 2、用555定时器产生1Hz的标准脉冲信号; 3、当计时器显示00,同时报警; 4、计时器应具有清零、启动、暂停/继续计时等控制功能。 二、电路设计原理及单元模块 1、设计原理 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。 图1—方案框图

其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管和鸣蜂器代替。 2、设计方案 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 3、单元模块 3.1各个元器件功能 3.1.1、555定时器 555 定时器的内部电路框图如图3-1-1所示。 图3-1-1 555内部电路框

数显声响倒计时

一、 方案的论证和选择 1.1 整体电路构思 图1.1 整体电路构思图 1.2 方案一 如图1.1信号由555定时器产生频率为1HZ ,占空比1/2的信号,由190构成 15进制计数器,由JK 触发器控制190和发光二极管的工作状态,三态门控制停止电路和声控电路。 图1.2 74ls190构成的数显声响倒计时电路 555信号发生器 由T 触发 器构成的 开关 74ls190计数器 报警电路 译码器 LED 显示器

1.3方案二 如图1.2 信号由555定时器产生频率为1HZ,占空比为1/2的信号,由192构成15进制的计数器,由JK触发器控制192和发光二极管的工作状态,由三态门控制停止电路和声控电路。 图1.3 74ls192构成的数显声响倒计时电路 1.4方案的选择 我们组设计的是数显声响倒计时电路,有两种方案均能达到要求,但经查阅相关资料,结合我们组四个人的意愿,并联系我们学习过的数字电子技术基础的知识,我们觉得用74ls190做更具挑战性,因为用74ls190做成的电路连线较为复杂,这样不仅可以增强我们的动手能力,同时还有助于提高我们检查复杂连线电路的能力,更具有实践意义,对比之下我们选择了方案一。

二.单元电路设计 2.1 电源电路设计 降压电路:直流电源的输入为220V 的电网电压,一般情况下,所需直流电压的数值和有效值相差较大,因而需要电源变压器进行降压,在对交流电压进行处理降压电路采用变压器直接变压,输出9V交流电。 整流电路:整流电路一般分为半波整流和全波整流。半波整流和全波整流相比,在相同的变压器的副边电压下,对二极管的参数要求是一样的,并且还具有输出电压高、变压器利用率高等优点,其中桥式整流最为常用,单相桥式整流电路将变压器副边电压从交流变为直流电压。鉴于以上优点,本设计采用了桥式整流。 滤波电路:电容滤波电路时最常见也是最简单的滤波电路,在整流滤波电路的输出端(即负载电阻两端),并联一个电容即得到电容滤波电路。滤波电容容量较大,利用其充放电作用,使输出电压趋于平滑。这种电容器的电感效应较大,通常需要并联高频滤波电容器,其容量在0.1微法到5 微法之间即可。本设计中采用瓷片电容器。 稳压电路:稳压电路有稳压二极管型稳压电路、串联型稳压电路和集成稳压器电路等类型。为使电路简单化、稳定化,我们采用了集成7805稳压器型稳压电路进行稳压,为后面的电路提供了稳定的电压。 所以整体电源设计原理为:220V、50HZ——电源变压器——整流电路——滤波电路——稳压电路——输出5V 图2.1 电源电路

篮球比赛24秒倒计时电路设计数电课程设计

长沙学院 数电课程设计说明书 题目篮球比赛24秒倒计时显示 电路设计 系(部) 专业(班级) 姓名 学号 指导教师 起止日期

数字电子技术课程设计任务书(12)系(部):专业:指导教师: 课题名 称 篮球比赛24秒倒计时显示电路设计 设计 内 容 及 要 求基本任务: 1.十进制显示“秒”。 2.具有手动启动和复位功能,能实现连续计时,暂停和报警功能。扩展任务: 1.能将24秒倒计时功能转换成秒表功能。 2.能精确到秒后一位。 设计 工 作 量1、系统整体设计; 2、系统设计及仿真; 3、在Multisim或同类型电路设计软件中进行仿真并进行演示; 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试 过程,参考文献、设计总结等。 进度 安 排起止日期(或时间 量) 设计内容(或预期目标)备注第一天 课题介绍,答疑,收集材 料 第二天设计方案论证 第三天进行具体设计 第四天进行具体设计 第五天编写设计说明书 教研室意 见 年月日 系(部) 主管领导意 见 年月日

长沙学院课程设计鉴定表姓名学号专业班级 设计题目 指导教师 指导教师意见: 评定等级:教师签名:日期: 答辩小组意见: 评定等级:答辩小组长签名:日期: 教研室意见: 教研室主任签名:日期: 说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类; 目录

前言 (4) 一、设计任务 (5) 二、设计框图 (5) 三、设计的主要元器件选择及其构成电路工作原理 (6) 1,NE555芯片 (6) 2,计时器74LS192芯片 (6) 3,控制电路的选择 (7) 4,LED、蜂鸣器组成的声光报警电路 (7) 四、设计总图及电路仿真 (8) 1,总设计图(仿真图) (8) 2,设计工作原理 (8) 3,仿真结果 (9) 五、设计总结 (10) 六、心得体会 (11) 七、参考文献 (11) 前言 篮球比赛倒计时24秒电路设计,本设计是脉冲数字电路的简单应用,此计

倒计时电路

概述 倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。 设计思路:用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器,CMOS电路组成秒/分选择器,另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电气开关断开,当计时完毕时,用电气开关闭合。 目录 1.设计任务及目的............................................................................................... 2.倒计时计数器组成及原理.................................................................................... 2.1倒计时计数器.................................................................................................... 2.2工作原理 (4) 3.拟定设计方案 (5) 3.1用proteus进行仿真设计 .................................................................................. 3.2计时器电路:.................................................................................................... 3.3控制电路 ........................................................................................................... 3.4报警电路 ........................................................................................................... 4.使用手册.............................................................................................................. 5.整体电路图 .......................................................................................................... 6.课程设计总结 (10) 1.设计任务及目的 设计任务: 1).构思设计方案及实现方式。 2).对电路的原理进行介绍。

倒计时定时器电路设计

倒计时定时器电路设计 倒计时定时器电路是一种常见的电子设计,用于实现指定的时间倒数计时功能。该电路可以广泛应用于各种需要定时操作的场景,如倒数计时器、定时器启动控制、设备关机等。本文将介绍倒计时定时器电路的设计原理及实现方法。 倒计时定时器电路主要由以下几个部分组成:时钟发生器、计数器、译码器和显示器。时钟发生器产生稳定的时钟信号,计数器用于记录经过的时钟周期数,译码器将计数器的输出转换为对应的数字信号,显示器将数字信号转换为可视的倒计时显示。 时钟发生器是倒计时定时器电路的核心组件之一、它负责产生稳定的时钟信号,并通过一个特定的频率来控制倒计时的时间精度。时钟发生器一般采用定时集成电路(如555定时器)来实现,通过改变RC电路的电阻和电容值可以调整时钟信号的频率。 计数器是用于记录经过的时钟周期数的电子元件。在倒计时定时器电路中,计数器以二进制方式计数,并根据时钟信号的输入递增或递减计数值。计数器的位数决定了倒计时定时器电路的最大计时范围。 译码器是将计数器的输出转换为对应的数字信号的组件。以BCD编码为例,译码器将4位二进制数字转换为对应的BCD码输出。通过将译码器的输出连接至显示器,可以将数字信号转换为可视的倒计时显示。 显示器是倒计时定时器电路的输出设备,用于展示倒计时的时间。常见的显示器包括数码管显示器(7段数码管、16段数码管等)和液晶显示屏。通过控制译码器的输入信号,可以实现显示不同的数字。 1.确定倒计时的时间范围和精度要求,以及显示器的类型。

2.根据时间范围和精度要求选择时钟发生器的频率,计算出时钟信号 的周期。 3.根据时钟信号的周期确定计数器的位数,确保计数器能够满足倒计 时的范围。 4.根据计数器的位数选择合适的译码器,确保译码器能够正确解码计 数器的输出。 5.连接时钟发生器、计数器、译码器和显示器,按照设计要求进行布 线和电气连结。 6.编写控制程序,实现倒计时的逻辑控制。 7.进行仿真测试和调试,确保倒计时定时器电路的正常工作。 8.制作电路原理图和PCB布局图,进行电路制板和组装。 9.进行系统测试和性能评估,根据需求进行调整和优化。 倒计时定时器电路设计需要充分考虑时间范围、精度要求和硬件成本 等因素。根据具体的应用场景和实际需求,可以进行相应的设计选择和调整。在设计过程中,还需注意信号时序的合理性、电路的稳定性和可靠性,并进行充分的测试和验证。

篮球比赛30秒倒计时电路设计

篮球竞赛30s倒计时的设计 1课程设计背景 二十一世纪,人类迈入信息时代,电子科学技术在人们生活中的运用越来越普遍。运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此设计一款30秒计时器是非常有必要也非常有前景的。 该款计时器是在原来的基础上把24秒制改为30秒制。该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。 本设计是74LS192芯片作为减数功能的30秒倒计时计数器。该计数器主要包括555构成的震荡电路,74LS192模块,数码管等模块单元。经测试,计数器可实现显示30秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动功能,发出光电报警信号。该设计电路简单、使用方便,功能稳定多样,具有很强的实用价值。 2课题设计要求 (1)具有显示30S的计时功能; (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能; (3)计时器为30S递减计时器,其计时间隔为1S; (4)计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号3设计任务及目标 (1)根据给出的电路原理图分析各单元电路的功能;

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

实验二60秒倒计时电路设计的实验报告

实验二60秒倒计时电路设计的实验报告 一、实验目的 1.进一步熟悉Quartus II混合层次化设计方法。 2.学习7段数码管的驱动设计方法。 二、实验内容 60秒倒计时电路如图1所示。其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。模块SCNA_LED完成BCD码到7段数码管显示译码功能。 图1 60秒倒计时电路 图2 60秒倒计时底层电路 60倒计数模块cnt_d60底层电路如图2所示。主要由2片74192(双向十进制计数器)

构成。 模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。 完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。引脚锁定参考表1内容。注意:应把未分配管脚置为三态输入,切记!! 表1 实验连线 1.原理图设计输入 (1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。 (2)选择路径。选择File/New Project Wizard。添写后以后,单击“NEXT”进入下一步。(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。 (4)选择FPGA器件。Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。 (5)选择外部综合器、仿真器和时序分析器。设置好后,单击“NEXT”进入下一步。(6)结束设置。“工程设置统计”窗口,列出了工程的相关设置情况。最后单击“Finish”,结束工程设置。 (7)建立原理图文件。点击cnt_d60文件,然后点击File/Crete/Update/Create Symbol Files For Current file以新建原理图封装文件方式,然后以同样的方式创建原理图SCNA_LED封装文件,文件格式都为*bdf。保存原理图文件。选择File/Save As…菜单,存为testone文件,选择Edit/Insert Symbol…(或直接双击原理图空白处)打开元器件库窗口,选择合理的器件(封装好的cnt_d60文件和SCNA_LED文件都在里面)按图1完成60秒倒计时电路原理图设计,完成后选择File/Save…保存原理图。(8)综合编译。编译之前,打开原理图文件,选择Project/Set as Top-Level Entity,以确保当前编译的文件为顶层的实体文件。然后选择Processing/Start Compilation,进行综合分析,直至编译通过为止。 (9)保护设计中没有使用到的引脚。对于FPGA芯片(包括EP1C12Q240C8),在做Quartus II工程时必须将未分配的管脚置为三态输入。选择Assignments\Device… 打开工程设置窗口。在Category中选择Device项,然后在Available Devices栏中,选中EP1C12Q240器件,再单击Device & Pin Options…按钮,在弹出窗口(中选择Unused Pins栏,然后设置Reserve all unused pins为AS input tri-stated。推荐把未分配管脚置为三态输入。如未将未分配管脚置为三态输入,将可能导致主芯片或外围芯片损坏,切记!!

篮球竞赛25秒倒计时电路

摘要 本电路主要由五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路,主要采用555作为振荡电路, 由74LS192、74LS48和七段共阴LED 数码管构成计时显示电路, 具有计时器直控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上显示数字25,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警信号。 关键词:计数器,25秒倒计,译码显示电路,控制电路,报警电路 目录 1设计要求和设计方案 (1) 1.1 设计要求 (1) 1.2 设计方案 (1) 2 简易篮球比赛计时器基本组成及工作原理 (2) 2.1 电路组成 (2) 2.2 工作原理 (2) 2.2.1译码显示电路 (2) 2.2.2 计数电路 (5) 2.2.3 555振荡电路 (7) 2.2.4 时序控制电路 (9) 2.2.5报警电路 (10) 2.3 总体电路图 (11)

3 调试 (12) 3.1静态测试与调整 (12) 3.1.1 供电电源表电压测试 (12) 3.1.2测试单元电路静态工作总电流 (12) 3.1.3三极管表态电压、电流测试 (12) 3.1.4集成电流表态工作点的测试 (12) 3.1.5数字电路表态工作点的测试 (13) 3.2电路调整方法 (13) 3.3 动态测试与调整 (14) 3.3.1测试电路动态工作电压 (14) 3.3.2测量电路重要波形及其幅度和频率 (14) 3.4频率特性的测试与调整 (14) 3.5 整机性能测试与调整 (15) 结论 (16) 谢辞 (17) 参考文献 (18) 附录 (19)

单片机倒计时系统

单片机倒计时系统 单片机倒计时系统可以采用8051单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计。 具体功能包括: 1.六位LED显示,从59分59秒99开始倒计时。 2.倒计时精度为0.01秒,能正确地进行倒计时。 3.复位后倒计时重新回到初始状态。 单片机倒计时系统的制作方法包括以下步骤: 1.确定系统的基本要求:例如数码管上显示计时的时间,可 以通过按键实现1小时内初始时间的设定(以秒为分度),并且完成计时,可随时暂停并且重新设置计时时间等。 2.选择合适的单片机型号:根据需要实现的倒计时功能以及 控制要求,选择适合的8051单片机型号,例如AT89C51 等。 3.设计电路:根据所选的单片机型号和功能要求,设计外围 电路,包括按键电路、复位电路、晶振电路、LED显示电 路等。 4.编写程序:使用C语言等编程语言编写程序,实现倒计时 的功能。程序应该包括主程序、中断服务程序等。在编写 程序时需要考虑按键的输入、时间的计算、LED的显示等 细节问题。

5.调试程序:通过调试程序可以确保程序的正确性和可靠性。 在调试时需要使用调试工具,例如示波器、逻辑分析仪等,对程序的各个部分进行测试和验证。 6.制作电路板:将设计好的电路制作成电路板,将各个元器 件按照设计好的位置和连接方式焊接在电路板上。 7.测试系统:完成电路板的制作后,需要进行系统测试,验 证是否实现了预期的倒计时功能。测试时需要使用测试工 具,例如电源、按键、LED显示器等,对系统的各个部分 进行测试和验证。 总之,单片机倒计时系统是一个比较复杂的系统,需要设计电路、编写程序、制作电路板和测试系统等多个步骤。在制作过程中需要注意细节问题,确保系统的正确性和可靠性。

倒计时数字电路

合肥学院 ED综合设计报告 题目:倒计时数字电子电路 班级:11级电子信息工程〔2〕班组员:凌林、夏雪、籍家兴 指导教师:高先和、红

一、实验目的 1、掌握任意时间倒计时器工作原理; 2、学会对原理图进展合理的布线; 3、锻炼对电路板进展焊接的能力; 4、加深对74LS161、08、192等芯片工作原理的了解。 二、实验要求 (1)设计倒计时器的电路图; (2)选择适宜的芯片; (3)倒计时器具有预置数功能。 三、实验设备 74LS192*3, 74LS08*2, 74LS03, 74LS04,74LS48*2,555,电

阻10KR和100R,万能板,5V稳压电源,按键开关,相关焊接工具。 四、实验原理 1.设计思路 我们选用的器材有3个74LS192,它是加、减十进制计数器,选用了555多谐振荡器,它能产生一个1hz的方波信号来作为秒脉冲,作为它的cp脉冲。又因为我们要选用2片计数器构成2位计数的状态,固要采用计数器的级联的方法,我们选用的是并行进位的级联,因为这个大大的加快了运行的速度。因为每个片子需要一个5V的直流电源来驱动,故我们还需要用一个7V的变压器,整流桥与一个三端稳压器来设计一个电源。因为我们要的是以秒为单位的计数器,所以我们需要的是1hz的cp信号,这个可以由555多谐振荡器来完成。555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进展翻译和显示。当倒计时显示进展到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端

15秒数显声响倒计时器

陕西理工学院 课程设计报告 课程:电子技术综合课程设计 题目:数显、声响倒计时电路设计 院系: 班级: 学号: 姓名: 指导老师: 组别:

任务书 一、制作数显声响倒计时电路 二、任务及要求: 设计并制作一个数显、声响式倒计时电路。要求如下: 1、电路具有10~99秒可预置定时功能。 2、有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置/开始按钮,数码管显示定时时间,LED不亮;再按预置/开始按钮,LED亮,倒计时开始。 3、倒计时结束时,计数器停止计数,LED不亮。 4、电路具有开机预置数功能。 5、电路具有最后三秒报时功能,要求响半秒、停半秒,共三次。用压控陶瓷蜂鸣器作为电声元件。 6、自制本电路所用的直流电源和一秒信号源。 二、参考资料: 1.《数字电子技术实验指导书》实验一,实验三,实验四,实验六以及实验七的相关内容。 2.《模字电子技术基础》课本 3.《数字电子技术基础》课本 4.上百度网站查阅相关芯片的工作情况,引脚图和功能表。

1.相关设计方案及抉择 (4) 1.1方案一 (4) 1.2方案二 (5) 2.理论设计--单元电路与总电路设计6 2.1 5V电压源电路设计 (6) 2.2 1s信号源设计: (7) 2.3 计数器电路设计: (9) 2.3.174ls190管脚图及功能简介9 2.3.2 电路连接概述 (10) 2.4 显示电路 (11) 2.5报警电路 (13) 2.6 控制电路 (14) 3.仿真调试 (15) 3.1 软件介绍 (15) 3.2 调试过程 (15) 3.2.1 倒计时及停止电路调试.. 15 4.实验中出现的问题及解决方法.. 16 5.小结 (18) 6.附录 (19) 6.1 总体电路图 (19)

相关主题
相关文档
最新文档