数字电路医院呼叫系统的设计

数字电路医院呼叫系统的设计
数字电路医院呼叫系统的设计

数字电路医院呼叫系统的设计

数字电子课程设计

题目医院呼叫系统设计姓名XXX

学号XXXXXXX

学院信息学院

摘要

随着科技的进步,人性化,智能化的医疗服务体系越来越接近人们的生活,为了满足医院各个病房的病人的需要,有必要设计并制作一个医院呼叫系统来满足这个需求。本文研究的目的是在利用自己所学的数字电路及模拟电路的知识,设计一个电路系统来满足要求,并在设计和具体的实践过程中提高自己数字电路的学习水平。该系统设计的技术指标是要实现对病人请求的声与数码管显示的转换,同事在实际操作中,根据病人病情的轻重缓急,加以区分优先级,所以,在以八路的病房呼叫系统为例时,由八个开关组成呼叫系统的呼叫电路,八个开关分别对应八个病房。在八个病房中八号病房的病人病情最为严重,依次向下病人病情逐渐减轻,一号病房病人病情最轻。该呼叫系统具有优先编码的功能,即当八号病房的病人呼叫时不管其他病房的病人有没有呼叫都只显示八号病房的号码请求,然后再依次显示其他病房的号码请求。

关键字:医院呼叫系统、数字电路、优先级电路、

目录

1.方案论证-----------------------------------------------------------------------3

2.电路设计-----------------------------------------------------------------------4

3.性能的测试--------------------------------------------------------------------8

4.结论-----------------------------------------------------------------------------9

5.性价比--------------------------------------------------------------------------9

6.课设体会-----------------------------------------------------------------------9

7.参考文献-----------------------------------------------------------------------9

8.附录1---------------------------------------------------------------------------10

9.附录2---------------------------------------------------------------------------10

10.附录3---------------------------------------------------------------------------11

工作原理:通过按钮控制呼叫信号,用两片74LS74芯片实现对74LS148的输入信号控制,用74LS148控制电路信号的优先编码,再利用74LS138将74LS148的输出信号编译出来,用LED数码管显示呼叫请求的病房号码,并利用蜂鸣器发出声的警报。

由以上工作原理框图可以看出,方案一和方案二的工作原理的主要组成原理是相同的。比较这两种设计方案,为了考虑设计的简便以及使用方便,更主要是考虑设计的成本,在实现同一功能的基础上,方案一的电路更加直观简单,易于理解且成本较低便于以后的简单维修,所以此次设计,我采用方案一进行电路的设计以及仿真。

电路设计

1.呼叫电路

呼叫电路的设计采用简便的核心宗旨,仅仅由八个开关组成,分别代表1~8号病房。当有一个病房的病人发出请求时,该病房对应的开关处于导通状态。

2.优先编码电路

优先编码电路主要对输入的请求信号进行优先编码过程。该部分的电路设计同样简单易懂,由一个二—十进制的优先编码器74LS147组成。当有病房的病人发出请求信号时,若只有1号病房的按钮按下,LED数码管上显示数字“1”。当2号病房的按钮被按下,3~8号病房按钮未按下,不管1号病房按钮按没有按下都只显示2号病房的号码。依此类推,以8号病房的优先级最高即8号病房的病人病情最为严重。当有多个病人同时按下按钮时,先处理优先级高的病房的请求,再依次处理其他病房的请求,直到处理完所有的请求。74LS147芯片的功能表及芯片如表1和图3所示。

表1 二—十进制编码器74LS147的功能表

××××××××0 0 1 ×××××××0 1 0 1 ××××××0 1 1 1 0 ×××××0 1 1 1 1 0 ××××0 1 1 1 1 1 0 ×××0 1 1 1 1 1 1 0 ××0 1 1 1 1 1 1 1 1 ×0 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1

可知优先权以I9′为最高,I1′为最低。又由电路可知只用到了

I8′,I7′,I6′,I5′,I4′,I3′,I2′,I1′这八个输入端,I9′一直接高电平。

图3 74LS147芯片

优先编码电路原理图如图4所示。

图4 优先编码电路原理图

电路中KEY-1~KEY-8为8个呼叫按钮开关,KEY-0为清零开关。R1~R8为8个100Ω的电阻用来保证优先编码器的输入在应许的范围内,74LS147为二—十进制游戏那编码器对输入信号进行优先编码。

3.译码电路

在接收到优先编码器的优先输出编码后,利用译码电路将信号输出。译码电路由四个反相器74LS04组成,输出Z4Z3Z2Z1四个二进制的数,其中以Z4为高位,Z1为低位。

译码电路功能表如表2所示。

表2 译码电路功能表

译码电路的原理图见图5。

图5 译码电路原理图

U2—U5为四个反相器,其中,U2的输出为Z1,U3的输出为Z2,U3的输出为Z4,Z4为高位,Z1为低位。四个反相器将获得的Y1′、Y2′、Y3′、Y4′转变为Z1、Z2、Z3、Z4。

4.显示报警电路

显示报警电路由两大部分组成:LED数码管数字显示部分、蜂鸣器警报部分。LED数码管接收译码电路的输出信号,将其编译的二进制数转变成相应的十进制数字。蜂鸣器警报在一旦有病房发出请求信号就发出蜂鸣声以警告值班人员,所以可以利用LED数码管的输入信号相或,并将或门的输出Z1+Z2+Z3+Z4与上一个高电平(5V电源和信号发生器串联)就能保证输出只和电路的输入有关即F=L·1。只有当显示报警电路所有的请求都被处理完后,系统才能够不发出蜂鸣声。

显示报警电路的原理图如图6所示。

图6 显示报警原理图

电路中U6为LED数码管显示病房号码,与门U8将或门的输出和高电平与来控制蜂鸣器U9。

性能的测试

电路整体性能的测试:

将电路接通+5V的直流电源后,按照要求逐个地拨动开关,这时发现LED 数码管显示相应的病房号码,但是蜂鸣器不发声。断开电源后检测到电路的各条接线和引脚都符合要求。再检测蜂鸣器,发现蜂鸣器的一些参数没有改。将修改后的电路再进行仿真,按要求拨动开关,LED数码管显示号码,闪光灯也发光,蜂鸣器也能正常发声。由以上测试可知,该电路符合设计要求。

结论

本次课设的题目为医院呼叫系统的设计。

本次课程设计的电路设计符合任务书中要求的各项指标,即当病人紧急呼叫时,会产生声、光提示,并显示病人编号;且根据病人病情设置优先级,当有多人呼叫时,病情严重者优先;医护人员处理完当前最高级别呼叫后,系统按优先级别显示其它呼叫病人的号码。电路的仿真结果也是同样的符合课设的要求,鉴于该电路该有的功能都具有,却难以加入其它的一些功能,所有就按照自己的设计将电路设计成简单易懂且制作成本较低的电路。

性价比

本次课程设计采用的元件都是比较常见的一些电路元件,例如优先编码器74LS147,反相器74LS04等等,价格便宜,性能优异,且便于以后的简单维修。由此可见,此次课程设计的性价比是比较高的。

课设体会

通过这次数字电路课程设计的学习,使我对数电的了解有更深了一步,在设计的过程中,我重新看了一遍数电书,巩固了以前学习的知识,加深了对电路的理解,对芯片的具体规格参数及功能有了更详细的了解,为以后的工作打下了很好的基础。这次设计将所学知识与实际应用相结合,使我对我所选择的专业兴趣更为浓厚,在学习中也更有兴趣。

参考文献

[1] 阎石著. 数字电子技术基础[M]. 北京:高等教育出版社,2005年

[2] 童诗白、华成英主编. 模拟电子技术基础[M]. 北京:高等教育出版社,2006年

[3] 赵淑范、王宪伟主编.电子技术实验与课程设计[M].北京:清华大学出版社,2006年

[4] 孙肖子、邓建国主编.电子设计指南[M].北京:高等教育出版社,2006年附录I 总电路图

附录II 元器件清单

序号编号名称型号数量

1 U1优先编码器74LS147D 1

2 U2 反相器74LS04D 4

3 U6 LED数码管DCD_HEX 1

4 U7 或门OR2 3

5 U8 与门7408N 1

6 U9 蜂鸣器BUZZER 1

7 J1 开关key 9

8 R1 电阻100Ω8 附录ⅢPROTEL 99SE 生成的网表

基于单片机的医院病床呼叫系统设计

基于单片机的医院病床呼叫系统设计 摘要: 本文主要应用单总线技术和单片机间串行通信技术,研究了基于单片机的一种可靠医院病床呼叫系统,并且运用Proteus和Keil软件仿 真效果理想,实现了病床呼叫的功能。它不但能够有效传送呼叫信 息,而且能通过数码显示求助病床号和通过蜂鸣器声音求助医护人 员,还可以存储呼叫信息、以备查询,同时可以扩展到与计算机联 机管理。该系统具有电路结构简单、成本低、可靠性高、布线施工 方便易于维护等特点。特别是当病床数目较多时,更具有优越性。 关键词: 病床呼叫系统串行通信记忆存储单总线技术 中图分类号:TP36 MCU Based Call System Design for Hospital Abstract:This article mainly introduced the application of the single-bus technology and inter-MCU serial communication technical, Studied a reliable call system for hospital based on MCU, and simulated the circuit with Proteus and Keil, the results are satisfactory. The system achieved the function of beds calling, is able to send the call information effectively and display the number of beds through its digital display, ask doctors and nurses for help through Sound buzzer. Besides the system can store call

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

医院病人紧急呼叫系统设计

目录 一、设计总体思路 (1) 1.1 设计要求 (1) 1.2 总体设计框图: (1) 1.3 设计思路 (2) 二、单元电路 (2) 2.1 信号锁存 (2) 2.2优先编码 (3) 2.5二进制转换 (4) 2.5反相器 (6) 2.6 总电路图 (7) 2.7 仿真图 (8) 三、安装及调试步骤 (11) 3.2安装步骤 (11) 3.2调试步骤 (12) 四、故障分析与电路改进 (13) 五、总结与体会 (14) 六、元器件清单 (15) 七、参考文献 (16)

一设计总体思路 1.1 设计要求 1.当病人紧急呼叫时,显示病人的编号(在此设定为3—6号四个病人病情依次加重),。 2.根据病人病情设置优先级别。当有多人呼叫时,病情严重者优先(6号优先级最高)。 3.医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其它呼叫病人的编号。 1.2 总体设计框图:

1.3 设计思路 系统由呼叫信号锁存,优先编码,二进制译码,显示及逻辑控制清除等几部分组成,电路核心部分为优先编码器,当有信号输入时,用触发器锁存相应的按键信号,由优先编码器完成信号优先级的判别,并提供相关的显示输出编码。二进制译码单路译出当前最高级别的呼叫,待医护人员处理完后,清除呼叫的触发器信号,转而对其它呼叫输入进行判别处理。 信号的锁存用7474D触发器实现。触发器把信号送给74148编码器,编码器按信号的优先编码。编码器输出进入74138译码器,译码器产生清零信号,进行逻辑清零,以便处理其它信号。 二单元电路 2.1 信号锁存 74LS74正边双D触发器用来锁存信号,其引脚如图:

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

最新医院呼叫系统设计毕业

医院呼叫系统设计毕 业

摘要 为提高医院的工作效率,保证病人及时得到医护人员的救助,设计了一种新型的医院智能呼叫系统。该系统以AT89C51单片机为控制核心、采用RS485总线进行通讯,实现病房与护理人员间的快速、准确地呼叫及响应功能。系统由护理主机、呼叫分机、RS485总线通讯声光报警及LED显示等组成。采用了主从分布式多机通讯,能同时监控多个病房的呼叫并进行分级处理,通过采用RS485总线通讯系统实现远距离、多对一的呼叫。 【关键词智能呼叫;RS485;总线;主机;从机。

Abstract In order to improve the work efficiency of hospitals and make patients get effective help in time,a new type of intelligence calling system is introduced in this artical.This system takes the AT89C51 single chip computer as control core and the RS485 as communication,and can realize the fast and accurate communication between the ward and the nurse.It is composed of nursing master computer,follow computer,RS485,the acousto-optic warning,LED and so on.It adopts primary /secondary distributive multi-computer communication ,can simultaneously monitor and stage wisely treat multiple wards'call.By the use of RS485,the system can communiate remotely and realize the calling function of manytoone. 【Key Words】intellligence;callling system;RS485 bus;master computer;slave computer.

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

(完整版)医院呼叫系统设计毕业设计

摘要 为提高医院的工作效率,保证病人及时得到医护人员的救助,设计了一种新型的医院智能呼叫系统。该系统以AT89C51单片机为控制核心、采用RS485总线进行通讯,实现病房与护理人员间的快速、准确地呼叫及响应功能。系统由护理主机、呼叫分机、RS485总线通讯声光报警及LED显示等组成。采用了主从分布式多机通讯,能同时监控多个病房的呼叫并进行分级处理,通过采用RS485总线通讯系统实现远距离、多对一的呼叫。 【关键词智能呼叫;RS485;总线;主机;从机。

Abstract In order to improve the work efficiency of hospitals and make patients get effective help in time,a new type of intelligence calling system is introduced in this artical.This system takes the AT89C51 single chip computer as control core and the RS485 as communication,and can realize the fast and accurate communication between the ward and the nurse.It is composed of nursing master computer,follow computer,RS485,the acousto-optic warning,LED and so on.It adopts primary /secondary distributive multi-computer communication ,can simultaneously monitor and stage wisely treat multiple wards'call.By the use of RS485,the system can communiate remotely and realize the calling function of manytoone. 【Key Words】intellligence;callling system;RS485 bus;master computer;slave computer.

简易病床呼叫系统

简易病床呼叫系统 一、系统介绍 本简易病床呼叫系统的功能是:启动系统后,数码管显示0。当有一个病人呼叫时,数码管显示相应病房号,同时蜂鸣器响起;当有两个病人同时呼叫时,数码管显示优先级高的,同时蜂鸣器响起;当有一个病房呼叫后另一个病房呼叫,若后呼叫的病房优先级低,则数码管显示不变,若后呼叫的病房优先级高,则数码管显示后呼叫的,两种情况下蜂鸣器都会叫,只是在有别的病房呼叫时有一点变音。在本系统中,设病房1到4优先级递减。 本简易病床呼叫系统基于AT89C51单片机,振荡电路的晶振采用12MHz,由控制核心AT89C51单片机、电源电路、振荡电路、复位电路、病房选择和七段数码管等部分组成,系统框图如下: 图1 系统框图 本系统利用了单片机AT89C51内部时钟信号,外接晶振和电容进行微调频率,用单片机的I/O口对数码管进行静态驱动,在此基础上,增加了复位电路和

选择电路。通过选择电路可以确定几号病房呼叫,用复位电路进行清零。 二、电路图 电路设计完成后,通过Proteus进行仿真,仿真电路如下图2。 图2 系统仿真电路 本系统选择电路利用四个按键开关模拟病房1--4呼叫,分别连接到单片机的P3^0、P3^1、P3^2、P3^3,按下开关说明相应的病房呼叫。单片机的P0口外接排阻和数码管,复位电路和蜂鸣器分别与P3^4和P1^7相连。 系统通电后,仿真电路结果如下图3:

图3 通电后系统仿真电路 按下第一个开关,模拟1号病房呼叫,仿真结果如下图4: 图4 1号病房呼叫仿真结果

若同时按下第一个开关和第二个开关, 则数码管只显示“1”,仿真如下图5: 三、系统程序流程图 本系统程序流程图如下: 开始 工作

医院呼叫系统设计方案

医院智能呼叫护理系统 设 计 方 案 长治市城区汇通科技部

一、概况:为患者提供了优 质的医疗护理服务和舒适 安静的休养环境。为提高医 院护理质量,方便医患之间 的沟通,医院特地组织对医 院手术室等设备购置政府 采购项目公开招标活动,经 过对众多家医院护理对讲 系统相比较评审后,来邦医 院护理对讲系统(NBW系 列、二芯总线、双工对讲) 以其产品功能的齐全、性能 的稳定以及完善的售后服 务体系等优势脱颖而出,并 成为该医院的最终理想选择。此次招标项目数量要求是:住院部31个护士站,病床1298张,现已安装完毕并投入使用。 二、医院使用方提出的要求: 1.护士站主机与病床分机之间的通话方式为双工对讲,声音要逼真、清晰。 2.每层楼护士站的走廊中需配有走廊显示屏,当遇有病床呼叫时,以便走廊中的护士查看正在呼叫分机号。 3.各层的护士站可随时对所有病床进行广播或播放音乐,以创造良好的轻松愉快休养环境。 4.分机要具有以下功能: a) 可以呼叫本层的主机并能对讲; b) 分机要能外接手持呼叫器,取代分机上的呼叫按钮。 5.主机要求具有以下功能: a) 可以管理本层所有的病床; b) 主机要有多个护理级别供选择,护士人员可以根据病人的病情任意设定分机的护理级别; c) 分机呼叫主机时,主机要能显示、区分呼叫分机号及护理级别,并要有音乐、指示灯、语音提示; d) 同一时间如有多个分机呼叫主机时,主机要能同时显示呼叫的分机号及护理级别,并能记忆保持; e) 分机呼叫主机后,走廊中的护士人员如果直接过来处理了问题,此时可在分机上自行解除此分机的呼叫信号; f) 主机要有广播功能,可对所有的分机进行广播、播放背景音乐; g) 不管主机处于什么样的状态下,都要能接收到分机的呼叫信号; 6.系统可以配合输液报警器使用,当病人打点滴结束时,可自动向护士值班室报警。 7.如护士人员离开值班室时,可配带一个无线接收机,此接收机要能接收到分机的呼叫信号。 三、中标产品功能介绍: 对北京积水潭医院的情况及功能的要求进行分析后,由北京国际贸易公司组织经评标委员会对众多家医院护理对讲系统相比较评审后,来邦医院护理对讲系统(NBW系列、二芯总线、双工对讲)以其产品功能的齐全、性能的稳定以及完善的售后服务体系等优势脱颖而出,并成为该医院的最终理想选择! 以下为产品功能介绍: 产品介绍 1) 主机有LED、LCD显示:主机采用中文液晶显示屏,菜单式操作,可显示日期、时间、护理级别、呼叫床位号、系统状态等。 2) 分机自带手持呼叫器,取代分机上的呼叫/解除键。

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计系(部)班级姓名学号指导教师 2014 年12 月15 日至12 月19 日共 1 周 2014年12 月19 日

课程设计成绩评定表

目录 1 设计题目. (3) 1 病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1 设计内容 (6) 3.2 设计思路 (6) 3.3 需要器件 (7) 3.4 结构框图 (7) 3.5 系统原理图及其工作原理 (8) 3.6 各部分功能模块 (12) 3.6.1 床位呼叫控制转换模块及原理图. (12) 3.6.2 优先选择模块设计 (14) 3.6.3 译码显示模块设计. (16) 3.6.4 蜂鸣器模块. (17) 4.软件仿真及其调试 (18) 4.1 软件介绍 (18) 4.2 仿真方法介绍 (18) 4.3 故障分析 (19) 5.结论 (20) 6.心得体会 (21)

参考资料 (22) 附录1 仿真电路全图 (23) 附录2 元件清单. (24) 1 设计题目 1 病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时, 病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

医院呼叫系统设计方案

医院呼叫系统工程 KINGBELL 技 术 设 计 方 案 上海交大金铃铛电子科技有限公司

2007年3月15日 系统设计方案 设计依据 ?《安全防范工程程序与要求》GA/T75-94 ?《安全防范系统通用图形符号》GA/T74-94 ?《建筑电器安装工程质量检验评定标准》GYJ253-88 一、设计范围 ?医用呼叫系统 ?医用设备带 二、设计思想 1、严格按照国家的有关标准、规范进行工程设计,从技术上确保设计图纸符 合国家有关标准、规范的规定,满足贵院提出的各项要求。 2、努力贯彻设计的安全性、可靠性和实用性。在满足安全、可靠的前提下采 用先进技术,同时考虑经济、美观和维修方便等因素,搞好贵院医用传呼系统、设备带的设计。 三、工程概况 项目名称:呼叫系统安装工程 贵院住院楼设计1至11层。6套呼叫主机及11套走廊显示屏,1100 只呼叫分机。 四、终端设备带

医用设备带固定在病房床头上方的墙壁上,用来安装电源插座、呼叫按钮、电源开关、中心供氧及负压吸引等系统的气体阀等设备,另外也可固定其他一些医疗设备,如输液装置、其他报警装置等。 设备带简介 ?薄型设计,厚度为80mm ?单层设计,高度为205mm ?设备带中间面板(装载开关、插座等设备)的高度为105mm ?采用高强度铝合金材质,经整体喷涂处理,外表美观、耐磨、易清洁?气体通道与电线通道分隔设置,安全可靠,符合美国和欧共体关于医疗设备的标准 ?标准长度为1000mm和1200mm,可根据现场实际情况进行调整 ?可根据工程需要,设置中心供氧及负压吸引等气体出口,也可配置空气等出口 ?可选配照明灯(每个床头上配置一只,系philips日光灯系列),照明灯长度600mm ?每段上标配一只电源插座和一只开关,若还需要其它电气设备(如音视频插孔等),可定制 ?气体管道经过100%气密性测试,安全无忧

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

基于单片机的病床呼叫系统设计

基于单片机的病床呼叫系统设计 摘要 病房呼叫系统是传送临床信息的重要手段,可将病人的请求快速传送给值班医生或护士,它主要用于协助医院病员在病床上方便地呼叫医务人员,是提高医院和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处.避免没有看护人在时,病人急需服务却无法通知医生的情况.它要求及时、准确、可靠、简便可行。 本设计的呼叫系统由按键检测,数据处理,信息显示三部分组成。由C51单片机作为主要控制器,利用液晶显示器作为显示部分,使用3X8矩阵开关分别模拟医院病房与病床位数。病人按下按键时,C51立即获取病人的病房号和病床号,同时采集此时的时间并一起显示在液晶显示器上,当护士看到显示器上病人的信息,并按下清零键后,液晶显示器恢复到初始状态。本系统对键盘的检测采用中断的方式,能够提高系统的立即性和高效性。当同时有数个病床呼叫时,本系统还可以循环呼叫记录显示。 关键词:病房呼叫单片机中断液晶显示 I

Design of hospital bed call system based on singl e chip microcomputer Summary Ward call system is an important means to transfer the clinical information, the patient's request can be quickly transmitted to the d octor or nurse on duty, it is mainly used to assist the hospital easily call the medical staff in a hospital bed, is one of the necessary equipment to improve the l evel of nursing and hospital ward. Let the patient need service, just click the thecarry pager information can spread to the workers. Immediately without caregivers when patients need ed services cannot tell the d octor. It requires timely, accurate and reliabl e, simpl e and feasibl e. The d esign of the call system by the key detection, data processing, information display three parts. C51 microcontroll er as the main controll er, the use of liquid crystal display as the display part, the use of 3X8 matrix switch to simulate the hospital ward and hospital beds. When the press button is pressed, the C51 immediately gets the patient's ward number and the bed number, whil e the time is coll ected and displayed on the LCD monitor, when the nurse sees the patient's information and press the reset button, the LCD screen is returned to its initial state. This system can improve the immediate and efficiency of the system by using the interrupt mod e. When there are a number of hospital beds call, the system can also be a circular call records show. Key words: ward call, SCM, interrupt, liquid crystal display II

相关文档
最新文档