第五章 常用时序逻辑电路

第五章 常用时序逻辑电路
第五章 常用时序逻辑电路

第五章常用时序集成电路模块及其应用

用常用时序中规模集成模块设计数字电路仍是目前组成数字系统的主要设计方法,熟悉和掌握时序中规模集成模块的基本工作原理及其应用也是数字电子技术课程的主要任务。本章要求学生认识时序模块的国标符号、逻辑符号和时序电路模块的功能表,进而掌握用时序模块和其他电路组成的应用电路。

第一节基本知识、重点与难点

一、基本知识

(一)常用时序模块

在实际中有许多MSI产品可供选用,掌握了这些产品的逻辑功能、性能指标和使用方法,就可以方便地利用它们构成具有各种功能的数字电路,而无需采用单元触发器和门电路进行设计。

(二)计数器及其应用

计数器是用来计算输入脉冲数目的时序逻辑电路,是数字系统中应用最广泛的基本单元之一。它是用电路的不同状态来表示输入脉冲的个数。计数器所能计算脉冲数目的最大值(即电路所能表示状态数目的最大值)称为计数器的模(M)。

按进位方式,计数器可分为同步和异步两类。同步计数器的所有触发器共用一个时钟脉冲,时钟脉冲就是计数的输入脉冲。异步计数器只有部分触发器的时钟信号是计数脉冲,而另一部分触发器的时钟信号是其他触发器或组合电路的输出信号,因而各级触发器的状态更新不是同时发生的。

按进位制方式,计数器可分为二进制和非二进制(包括十进制)。

按逻辑功能方式,计数器可分为加法计数器、减法计数器和可逆计数器等。加法计数器的状态变化和数的依次累加相对应。减法计数器的状态变化和数的依次递减相对应。可逆计数器由控制信号控制实现累加或递减,可实现加法或减法计数。

若计数脉冲为一周期性信号,则模为M的计数器输出信号的频率为计数脉冲频率的1/M,也就是说,计数器具有分频的功能,可作为数字分频器使用。

工程中经常用到的序列信号发生器,也可由计数器设计而成。

(三)寄存器及其应用

寄存器与移位寄存器均是数字系统中常见的逻辑模块。寄存器用来存放二进制数码,移位寄存器除具有寄存器的功能外,还可将数码移位。

1.寄存器

寄存器用来存放二进制数码。事实上每个触发器就是一位寄存器。74175是由四个具有公共清零度端的上升沿D型触发器构成的中规模集成电路。

2.移位寄存器

移位寄存器具有移位功能,即除了可以存放数据以外,还可将所存数据向左或向右移位。

移位寄存器有单向移位和双向移位之分,还常带有并行输入端。74195是带有并行存取功能的四位单向移位寄存器。74194是可并行存取的四位双向移位寄存器,是一种功能比较齐全的移位寄存器,它具有左移、右移、并行输入数据、保持以及清除等五种功能。

利用移位寄存器可以很方便地将串行数据变换为并行数据,也可以将并行数据变换为串行数据。计算机中外部设备与主机之间的信息交换常常需要这种变换。

移位寄存器还常用来做成环形计数器和扭环形计数器,在序列控制中要用到这些类型的电路。

同计数器一样,移位寄存器也可用于设计序列信号发生器。

二、重点与难点

重点:

1.识别各种时序模块的国标符号和逻辑符号;

2.掌握常用同步与异步计数器的功能与特性;

3.掌握常用寄存器和移位寄存器的功能与特性;

4.熟练掌握用各种计数器和移位寄存器的典型应用。

难点:

1.国标符号的理解;

2.各种计数器、移位寄存器和中规模组合电路的综合运用。

三、考核题型与考核重点

1.概念与简答

题型为填空、判断和选择。

建议分配的分数为3~6分。

2.综合与设计

题型1为设计大于M=16的任意模计数器;

题型2为各种计数器、移位寄存器和中规模组合电路综合运用的分析和设计。

建议分配的分数为10~20分。

第二节典型题解

例题5.1 由三片74290计数器组成的电路如例题5.1图所示。试分析其功能。

解:在3个74290计数器中,个位计数器输出74290(1)接成3的代码(0011),十位计数器输出74290(2)接成6的代码(0110),百位计数器输出74290(3)接成9的代码(1001),将这三个计数器的最大计数1状态代码通过六输入与非门连到RS触发器,当计数到最大值(963)时,计数器输出全部被置0,完成M=963计数的功能(M=9×100+6×10+3×1=963),所以此电路为M=963的计数器。

方式

例题5.1图

例题5.2 试用74195及16选1数据选择器产生序列信号Z :10111000(电路初始状态为0000)。

解:电路图如例题5.2图所示。74195连接成扭环形计数器,其态序表如例题5.2表所示。由于数据选择器的地址输入端A 0A 1A 2A 3依次连接到74195的Q 0Q 1Q 2Q 3,因而数据选择器的输出取决于Q 0Q 1Q 2Q 3所选择的对应输入端之值。将要求产生的序列信号10111000依次与态序表的各行一一对应,可知应将D 0、D 3、D 7、D 15接到高电平,将D 1、D 8、D 12、D 14接到低电平,而其他输入端如何连接没有任何影响。

例题5.3 例题5.3图(a)为8421BCD 码编码逻辑电路图,8421BCD 编码输入由按键操作完成。试分析图中各部分电路的作用,并画出编码器工作波形。

解:例题5.3图(a)中74175的作用是实现暂存。为使输入的8421BCD 数码与时钟脉冲保持同步,并消除按键触点噪声的影响,数据输入寄存器这一操作由边沿触发器产生的单脉冲来完成。寄存器74175在CP 脉冲由0到1正跳变时刻接收输入端的数据,而CP 脉冲为1或0时各输入端的状态均不被接收。编码器的工作波形如例题5.3图(b)所示。

Z

例题5.2图

K K K K K K K K K (a)

触点噪声

0~K 9

CP

CP 1

(b)

例题5.3图

例题5.4 扫描显示电路如例题5.4图所示,试分析其工作原理。

解:例题5.4图中T450锁存器作显示器的“段”扫描信号寄存器,“位”扫描脉冲信号先由74161计数器进行计数,再经译码器74138译码后产生位驱动信号。因此,扫描显示器在“段”扫描脉冲和“位”扫描脉冲的共同作用下实现了扫描显示。

段扫描数据输入

例题5.4图

第三节题解

自我检测题解

题5.1答:从功能上讲,常用时序模块有计数器、寄存器和移位寄存器等。

题5.2答:计数器的功能是对输入脉冲进行计数,它是用电路的不同状态来表示计数值。计数器的模是指计算的脉冲数目的最大值。

题5.3答:74161是异步清零,而74163是同步清零,所以74161清零动作快。

题5.4答:移位寄存器分为单向移位寄存器和双向移位寄存器两种。

题5.5答:8位移位寄存器串行输入时,经8 个CP脉冲后,8位数码全部移入寄存器中,若该寄存器已存满8位数码,欲将其串行输出,则需经过8 个CP脉冲后数码才能全部输出。

题5.6答:有一个移位寄存器,高位在左,低位在右,欲将存放在移位寄存器中的十进制数乘上(4)10,则需将该移位寄存器中的数左移 2 位,需要 2 个移位脉冲。

题5.7答:有一个移位寄存器,高位在左,低位在右,欲将存放在移位寄存器中的二进制数除以十进制数(16)10,则需将该移位寄存器中的数右移 4 位,需要 4 个移位脉冲。

题5.8答:五个D触发器构成环形计数器,其计数长度为 A 。

(A) 5;(B) 10;(C) 25;(D) 32。

题5.9答:n级反馈移位寄存器产生的序列,不可能的循环长度是 B 。

(A) 2n;(B) > 2n;(C) ≤2n;(D) < 2n。

题5.10答:用反馈移位寄存器产生11101000序列,至少需要 B 个触发器。

(A) 2;(B) 3;(C) 4;(D) 8。

题5.11答:用 C 方式构成模8计数器的译码电路最简单。

(A)同步计数器;(B)异步计数器;(C)环形计数器;(D)扭环形计数器。

题5.12答:n级线性移位寄存器能产生的最大线性序列的循环长度是 C 。

(A) 2n;(B) 2n-1;(C) 2n-1;(D) n。

题5.13答:在时序模块的国际符号控制块中的输入端标有CT=0, 表示 D 。

(A) 当时钟有效时,触发器清零;(B)当时钟上升沿时,触发器清零;

(C) 当时钟下降沿时,触发器清零;(D) 触发器即刻清零。

题5.14答:在时序模块的国际符号控制块中的总定义符为CTRDIV10,表示 B 。

(A) 移位寄存器的模为10;(B)计数器的模为10;

(C) 计数器的模为16;(D) 以上三个答案都不对。

思考题题解

题5.1在使用某个中规模时序模块前,需要了解哪些内容?

答:需要了解该时序模块的时钟、清零方式、置位方式、使能情况、移位或计数情况等。

题5.2 一个512位移位寄存器用作延迟线,若时钟脉冲频率为4MHz,问数据通过该移位寄存器被延迟了多少时间?

答:数据要经过512个时钟脉冲才能通过该移位寄存器,所以数据通过该寄存器被延迟了128us。

题5.3 在四则运算中,移位寄存器可完成哪几种运算?

答:每向左或向右移动一位,则可实现乘2或除2功能,所以移位寄存器可完成乘除两种运算。

题5.4 同步清零和异步清零,同步置数和异步置数的区别是什么?

答:同步清零指在清零信号有效的条件下,需等到下一个时钟脉冲到来计数器才清零。异步清零是只要清零信号有效则即刻清零。同步置数指置数方式下,下一个时钟脉冲到来时,输出端反映输入端数据的状态。异步置数指只要置数信号到来,计数器立即置数,无需等下一个时钟脉冲到来。

题5.5 TTL集成电路74161与74163有什么不同,如果写出74163的VHDL程序,怎样修改74161的VHDL程序?

答:74161与74163唯一的不同是复位方式不同,若写出74163的VHDL程序,只需在74161的VHDL程序基础上,加上判断时钟的到来即可。如:

IF CP=‘1’AND CP′EVENT。

题5.6 在时序模块的国标符号控制块中,相互关联方式有哪些?

答:在时序模块的国标符号控制块中。有以下几种关联:与、非、关联、控制、置位、复位、使能和工作模式。

题5.7 计数器可作为数字分频用,从本质上讲,两者有何区别?

答:计数器和分频器从本质上讲,两者区别在于其作用上,计数器用电路的状态来反映计数值。而分频器则利用状态的变化来反映输出频率与输入时钟脉冲间的比例关系。

题5.8 试考虑有一个74161和一个4线-16线译码器设计一个4位12比特序列码发生器。

答:首先将74161接成12进制计数器。再将74161的4个触发器输出端Q3Q2Q1Q0接在4线-16线译码器的4个地址输入端A3A2A1A0。4线-16线译码器的输出配备四个多扇入的与门或与非门可以完成四个不同的逻辑函数,实际上也是4位12比特序列码发生器。

题5.9 当设计两个1位十进制数相加,其和也是1位十进制数,并且显示七段发光管只有一个,你可能用到几个书中介绍的中规模时序模块?

答:有两种方法:一种方法是用无记忆的组合电路,另一种方法是用时序电路。

(1)将十进制的加数和被加数输入到8线-3线编码器,其输出为两个4位二进制数。将这两个4位二进制数送到4位二进制加法器的输入,其输出则为和,再通过显示译码器送到显示七段发光管。这样的设计无记忆,只要将输入的加数和被加数按键放松,输出就不再显示和。共用到中规模组合电路5块。

(2)第一次通过8线-3线编码器先输入被加数,用计数器记住第一次按键,并启动第一个寄存器,存入4位二进制加法器的输入端。第二次通过8线-3线编码器输入加数,用计数器记住第二次按键,并启动第二个寄存器,存入4位二进制加法器的另一个输入端。同时启动加法器,将和通过显示译码器送到显示七段发光管。这样的设计有记忆,用到了计数器和寄存器,输出显示两位十进制的和。共用到中规模组合电路7块。

题 5.10 在设计某些时序电路(例如计数器、移位寄存器连接的计数器)时,会由于种种原因落入非工作状态,你应该如何考虑电路设计方案?

答:(1)设置正确的复位信息;

(2)设置正确的预置信息;

(3)分析非工作状态,使其在有限个脉冲作用下进入工作状态。

习题题解

习题5.1 习题5.1图为一片4位二进制同步计数器(74161或74163),试分析下列各种连接图,可构成模几计数器,并写出态序表。

解:可以用如下两种方法:

(1) 题目中已知芯片的名称,在手册中查找集成芯片的符号和功能表,再根据电路的连接分析电路功能。

(2) 题目中已知芯片国标符号,根据符号可以知道集成芯片的的工作原理。 下面以第二种方式分析习题5.1图的功能。

首先观察总定性符号CTRDIV16,可知集成芯片是16进制计数器。符号控制框上的CT=0说明低电平有效的异步清零,并观察外部给的高电平,可知清零无效。

习题5.1图电路的反馈信号反馈到符号控制框上的M1和M2,低电平有效的M1是关联到时序块的数据输入端。当M1有效时,且CP 时钟到来时,时序块的数据输入端的数据送到触发器的输出端。当M2有效时计数器按二进制计数。

以下按(2)的原则分析习题5.1图。习题5.1图(a )、(b )、(c )的态序表如习题5.1图(c )为模14计数器(M =14)。

习题5.2 习题5.2图为一片4位二进制同步计数器(74161或74163),连成下面电路,试问可构成模几计数器,并写出态序表。

解:分析习题5.2图的方法和习题5.1图大致相同,所不同的是反馈到工作模式的信号不是由触发器的输出经组合电路反馈,而是从进位位经过非门反馈。属于后N

习题5.1图 用74161接成的电路 (b ) (a ) (c )

种状态计数的预置方法,其状态态序表如习题5.2表所示,可以看出M =12。

习题5.3 用74193(双时钟可逆计数器)按习题5.3图所示外部连线,可构成模几计数器,写出态序表,并用VHDL 语言实现习题5.3图功能。

解:首先观察总定性符号CTRDIV16,可知集成芯片是16进制计数器。符号控制框上的CT=0说明高电平有效的异步清零,并观察外部给的低电平,可知清零无效。

习题5.3图电路的反馈信号反馈到符号控制框上的G3,低电平有效的G3关联到时序块的数据输入,且和时钟CP 无关,因此属于异步清零。当此端信号为低电平时,时序块的数据输入端的数据送到触发器的输出端。当G3无效时计数器按二进制计数,G1端接高电平,G2接时钟时,为减计数功能。当计数到零时,BO 输出为低电平。其输出反馈到G3,并重新预置开始新的循环。分析习题5.3图,得习题5.3表态序表,从态序表可以看出M =7。

用VHDL 实现的习题5.3图如下。

LIBRARY ieee;

USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY ti53 IS

PORT(cp:IN std_logic;q:OUT std_logic_vector(3 DOWNTO 0)); END ti53;

ARCHITECTURE ti53_arc OF ti53 IS

SIGNAL load_s:std_logic:='0'; BEGIN

PROCESS(cp,load_s)

V ARIABLE cnt:std_logic_vector(3 DOWNTO 0);

习题5.3图

习题5.2图

BEGIN

IF(load_s='0') THEN

cnt:="0111";load_s <= '1'; END IF;

IF(cp'EVENT AND cp='1') THEN IF(cnt="0001") THEN

load_s<='0'; ELSE

cnt:=cnt-'1';load_s <= '1'; END IF; END IF; q<=cnt;

END PROCESS; END ti53_arc;

习题5.4 用74193按习题5.4图所示外部接线,可构成模几计数器。

解:分析习题5.4图的方法和习题5.3图大致相同。所不同的是当G3无效时计数器按二进制计数,G2端接高电平,G1接时钟时,为加计数功能。当计数到“1111”时,CO 输出为低电平。其输出反馈到G3,并重新预置开始新的循环。分析习题5.4图,得态序表如习题5.4表所示,从态序表可以看出M =12。

习题5.5 分析习题5.5图所示电路为模几计数器,并写出态序表。

解:从习题5.5图中的总定性符CTR 了解到电路是计数器,且时序块的上部是二进制,而下部是五进制。从控制块的Z3可知,当其有效时,关联到时序输出为9。但此电路置9无效。从控制块的CT=0可知,清零端信号有效时,触发器输出清零。

习题5.4图

习题5.5图 (a) (b)

习题5.5图(a )电路连成5421BCD 码的输出形式,所以列出和分析态序表如习题5.5表(a )后,得到M =6,即模6计数器。习题5.5图(b )电路也连成5421BCD 码的输出形式,所以列出和分析态序表如习题5.5表(b )后,得到M =9, 即模9计数器。

习题5.6 试用74161构成M =462

解:用三片74161用置位方式采用串并行进位结构,要预置的初态为4096-462=3634=111000110010,从3634到4095共462个状态,所以片(1)预置数0010。片(2)预置数0011。片(3)预置数1110,当计数器从该预置值计数到4096后,片(3)的进位输出经反相使各片重新预置,进入新一轮计数,电路如习图5.6图。

解本题还可利用并行进位结构、串行进位结构、用后462种状态的全并行结构等方法,请见参考文献[2]。 习题5.7 试用74193构成 M =176计数器。 解:用两片74193串行连 接如习题5.7图所示。并用右片 的进位输出端CO 作为置数控制

信号,连接到两个芯片的置数端 G3。这时,计数器的模与置数N 10 之间的关系为M =176=255-N 10,

因此N 10=79,即置数01001111。 由习题5.7图可见,每片计数器 R 习题5.7图 并行构成176进制加法计数器

习题5.6图 用后462种状态构成串并行计数电路

R D

内部是同步计数工作,两片之间是串联工作,所以这是一个并串行加法计数器。

假若要得到减法计数器,则根据M = N 10=176,即置数10110000。电路图请见参考文献[2]。

习题5.8 试用74290采用8421BCD 码形式构成M =7计数器,并写出态序表。 解:用74290芯片采用8421BCD 码,将Q A 接CP B ,CP A 接外部CP ,并将Q A Q B Q C

信号经与门反馈到清零端,电路如习题5.8图所示。态序表如习题5.8表所示。

习题5.9 试分析习题5.9图所示计数器功能,并写出态序表。

解:J =Q C Q B ,K =Q C Q B ,清零的一个输入端接在触发器的反相输出端Q

若计数器从0000~0101状态计数,这时J =1,K =0,因而每来一个计数脉冲,触发器置1,而74290清零端接在触发器的Q =0,对计数工作无影响,计数器加1计数。当计数器状态变到Q D Q C Q B Q A =0110时,Q B =Q C =1,则J =0,K =1,下一个时钟脉冲到来后触发器被置0, Q =1,故74290的输出状态变为0000,实现了七进制计数器。态序表如习题5.9表所示。可见,这种计数器的模与反馈状态数的关系为M =N +1,即反馈状态N =M -1。例如,要构成九进制计数器,则N =9-1=8,故用Q D 反馈到触发器的J 、K 端。

习题5.10 试用74290构成M =48计数器,并用VHDL 语言实现。

解:因为10<M =48<100,应选用2片74290串联工作。若设计数器按8421BCD 码计数,则将Q 0端和CP B 端连接在一起。将计数脉冲送到第(1)片(低位片)的CP A 端,第(1)片的Q 3连到第(2)片(高位片)的CP A 端。由于M =48,与它相应的计数器状态为Q 7Q 6Q 5Q 4(第2片)=0100,Q 3Q 2Q 1Q 0(第1片)=1000,把第(2)片的Q 6和第(1)片的

习题5.9图

习题5.8图

Q 3分别连到两个清零端,同时为使计数器计数工作,使置9端为低电平。电路连接如习题5.10图所示。

实现习题5.10的VHDL 程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; ENTITY m48 IS

PORT(cp:IN std_logic;

q:OUT std_logic_vector(7 DOWNTO 0));

END m48;

ARCHITECTURE m48_arc OF m48 IS

SIGNAL iq:integer:=0;

BEGIN

PROCESS(cp)

BEGIN IF(cp'EVENT AND cp='0') THEN IF(iq=47) THEN

iq<=0; ELSE

iq<=iq+1;

END IF; END IF;

q<=conv_std_logic_vector(iq,8); END PROCESS; END m48_arc;

习题5.11 设习题5.11图(a )和(b)中移位寄存器保存的原始信息为1111。试问

下一个时钟脉冲后,它保存什么样的信息?多少个时钟脉冲作用后,信息循环一周。

解:对电路习题5.11图(a )和(b ),下一个时钟脉冲后保存的信息均为Q 0Q 1Q 2Q 3=0111。(a )图为扭循环计数器,8个时钟脉冲作用后信息循环一周。查主教材表5.4.3可知,(b )图是最长线性移位寄存器,15个时钟脉冲作用后信息循环一周。

习题5.12 在74194构成串行-并行转换器中,如果要实现8位转换,则增加1位触发器后,线路应作何变化?

解:8位串行—并行转换器电路连接图如习题5.12图所示。它是由四片74194和附加的触发器来实现,第(3)片和第(4)片是作为数据寄存器用的。当M A =M B =1时,电路执

Q 0 Q 1 Q 2 Q 3 (a)

(b)

习题5.11图

习题5.10图

行并行输入数据操作。电路工作原理与7位串行—并行转换电路相同。当第(2)片74194的Q3=1时,“与非”门关闭,第(3)片和第(4)片74194处于保持状态,第(1)片和第(2)片74194执行右移操作。

习题5.13 在74194构成并行-串行转换器中,如果要实现8位转换,则增加1位触发器后,线路应作何变化?

习题5.13图串行输出

习题5.12图

解:8位并行-串行转换器电路连接图如习题5.13图所示。其中D 触发器的Q 端产生的标志码加到74194第(1)片的右移串行输入端D SR 和与非门G 1的输入端。当启动负脉冲出现时,在第一个时钟脉冲CP 作用下, D 触发器的Q 为0, 并且8位并行输入数据被74194接收, D 触发器的Q 为0,使与非门G 2输出为0 (当启动负脉冲消失后)。当第二个时钟脉冲CP 来到时, D 触发器的Q 变成1, 同时74194执行右移操作, 标志码被移至74194第(1)片的Q 0端, 输入代码D 7被移至串行输出端。直到第八个CP 脉冲到来后, 标志码移入74194第(2)片的Q 2端, 74194又执行并行输入数据操作。

习题5.14 习题5.14图中为由74195构成的分频器,试分析分频比N 为多少? 解:习题5.14图(a ):J =K =Q 1,作态序表如习题5.14表(a)所示,由态序表看出是4分频器。图(b ):J =K (第(1)片)=Q 4Q 5, J =K (第(2)片)=Q 3,作态序表如习题5.14表(b)所示,由态序表看出是11分频器。

习题5.15 试画出由74195电路构成的13分频(÷13)、7分频(÷7),并用VHDL 语言实现上述功能。

解:用74195集成芯片构成奇数分频器,需在反馈与非门输入端接Q i 和Q i +1,将与非门的输出端反馈到低位的74195的JK 端, 则可构成M =2i +1的计数器。若与非门改为非门接Q i ,则可构成M =2i 的偶数分频器。÷13、÷7分频器如习题5.15图所示。

VHDL 程序实现13分频电路如下。若将程序改为7分频,只将程序中的cnt =13改为cnt =7即可。

习题5.14图 (b )

(a )

LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY f13 IS

PORT(cp:IN std_logic;

q:OUT std_logic);

END f13;

ARCHITECTURE f13_arc OF f13 IS BEGIN

PROCESS(cp)

V ARIABLE cnt:integer RANGE 0 TO 16; BEGIN

IF(cp'EVENT AND cp='1') THEN cnt:=cnt+1; END IF;

IF cnt=13 THEN cnt:=0; q<='1'; ELSE q<='0'; END IF;

END PROCESS; END f13_arc;

习题5.16 根据m 序列发生器的反馈函数,绘出一个由三个D 触发器构成的m 序列发生器,并写出态序表与输出的序列码(设电路的初始状态为Q 0Q 1Q 2=001)。

解:查主教材表5.4.3得反馈函数f (Q )=Q 1⊕Q 2 ,电路连接图如习题5.16图所示,态序表如习题5.16表所示。Q 2输出序列为:···1001011··· 。

习题5.17 用4位同步二进制计数器74161及16选1数据选择器联成一函数发生器,使产生1011000111010100序列信号,用VHDL 程序实现产生序列信号电路。

习题5.15图 (a )

输出

(b )

7输出

CP 习题5.16图

解:在四位二进制同步计数器74161计数全状态转换过程中,令每一状态的输出对应给定序列的每1比特,可列出态序表如习题5.17表所示。采用16选1数据选择器,电路连接图如习题5.17图所示。

习题5.17图

用VHDL程序实现如下:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.std_logic_arith.ALL;

USE ieee.std_logic_unsigned.ALL;

ENTITY xulie IS

PORT(cp:IN std_logic;

F:OUT std_logic);

END xulie;

ARCHITECTURE xulie_arc OF xulie IS

BEGIN

PROCESS(cp)

V ARIABLE q:std_logic_vector(3 DOWNTO 0):="1111";

BEGIN

IF(cp'EVENT AND cp='1') THEN

IF(q="1111") THEN

q:="0000";

ELSE

q:=q+'1';

END IF;

CASE q IS

WHEN "0000"=>F<='1';

WHEN "0001"=>F<='0';

WHEN "0010"=>F<='1';

WHEN "0011"=>F<='1';

WHEN "0100"=>F<='0';

WHEN "0101"=>F<='0';

WHEN "0110"=>F<='0';

WHEN "0111"=>F<='1';

WHEN "1000"=>F<='1';

WHEN "1001"=>F<='1';

WHEN "1010"=>F<='0';

WHEN "1011"=>F<='1';

WHEN "1100"=>F<='0'; WHEN "1101"=>F<='1'; WHEN "1110"=>F<='0'; WHEN "1111"=>F<='0'; WHEN OTHERS=>F<='X'; END CASE; END IF;

END PROCESS; END xulie_arc;

习题5.18 习题5.18图是集成化m /(m +1)分频器E12012的内部主要部分电原理图,Q 3

有两个输出端,其中一个在封装内部实现线或。试问:

(1) 如习题5.18图(a)所示。从CP 1输入时钟CP ,L 接控制信号,CP 2悬空,此分频器的分频次数m /(m +1)为多少(设初始状态Q 3Q 2Q 1=110)。

(2) 如习题5.18图(b )所示。将CP 2与Q 3相接,时钟CP 仍从CP 1输入,m /(m +1)又为多少?(设初始状态Q 4Q 3Q 2Q 1=1110)。

写出态序表(此电路是在时钟脉冲的下降沿时翻转)。

解:(1)32211Q LQ Q Q D ++=,12Q D =,23Q D =,根据初始状态Q 3Q 2Q 1=110,列出态序表如习题5.18表(a),得到分频次数为m /(m +1)=5/6。

(2)321241)(Q Q Q Q L Q D +++=,12Q D =,23Q D =,44Q D =,根据初始状态

Q 4Q 3Q 2Q 1=1110,列出态序表如习题5.18表(b)所示,得到分频次数为m /(m +1)=10/11。

1 2 (a )

(b ) 习题5.18题图

习题5.19 试用74195构成8位环形、扭环形计数器。

解:(1)选用两片74195构成环形计数器。电路连接图如习题5.19图(a)所示,可将第(1)片的J、K连在一起与第(2)片的Q7相连接,第(2)片的J、K连在一起与第(1)片的Q3相连接,在预置端加启动信号进行预置,使电路初始状态为10000000后,J1=K1=0,J2=K2=0。第一个CP脉冲来后Q0变成0,电路作右移操作,第8个CP脉冲作用后,电路恢复到初始状态。

(2)扭环形计数器连接图如习题5.19图(b)所示。将第(1)片的J、K连接在一起与第(2)片的Q7相连,第(2)片的J、K连在一起与第(1)片的Q3相连接。当清零后,在时钟脉冲作用下构成一个计数循环,其模M=16。

(a)

(b)

习题5.19图

习题5.20 分析习题5.20图所示电路的逻辑功能,并用VHDL 语言实现此电路功能,然后比较两种实现方法的特点。

解:预置端为Q 0Q 1Q 2,J =Q 3,K =Q 3,并行数据输入端D 0D 1D 2D 3=0111。根据74195的逻辑功能,当电路状态Q 0Q 1Q 2Q 3=1110时,预置端为低电平,执行M2模式,即并行送数。其他状态时,预置端为高电平,执行M1模式,即移位操作。其态序表如习题5.20表所示。 所以此电路为模15移存型计数器。

LIBRARY ieee;

USE ieee.std_logic_1164.ALL; ENTITY yiwei IS

PORT(cp:IN std_logic;

q:OUT std_logic_vector(3 DOWNTO 0));

END yiwei;

ARCHITECTURE yiwei_arc OF yiwei IS

SIGNAL q_s:std_logic_vector(3 DOWNTO 0):="0111"; BEGIN

q<=q_s;

PROCESS(cp) BEGIN

IF(cp'EVENT AND cp='1') THEN IF(q_s="0111") THEN q_s<="1110"; ELSE

q_s(3 DOWNTO 1)<=q_s(2 DOWNTO 0); END IF;

IF(q_s(3)='0') THEN q_s(0)<=NOT q_s(0); END IF; END IF;

END PROCESS; END yiwei_arc;

若实现习题5.20的要求,可在VHDL 程序中不用设定题目中没有要求的集成芯片的控制输入或输出,以及预置输入等。这样,用VHDL 实现的可编程逻辑器件可以节省大量资源。

习题5.21 试用74161构成计数器/移位寄存器。

解:利用预置X 信号作为计数器/移位寄存器的切换信号,当X =‘1’时执行计数操作,当X =‘0’时执行移位操作。只需将Q 2接到D 3上,将Q 1接到D 2上,将Q 0接到D 1

上,

习题5.20图

习题5.21图

并 行 输 出

串行数据从D 0输入,通过有规律地置数,实现移位,电路图如习题5.21图所示。

习题5.22 试用两片4位双向移位寄存器74194构成8位双向移位寄存器。

解:将两片的复位(CT=0)、时钟CP 、预置M A 和预置M B 端分别并接起来,将第(1)片的Q 3与第(2)片的右移串行输入端D SR 连起来,则第(1)片的D SR 为8位双向移位寄存器的右移位串行输入端,第(2)片的Q 7为右移位串行输出端。将第(2)片的Q 4与第一片的左移位串行输入端D SL 相连,则第(2)片的D SL 为八位双向移位寄存器的左移位串行输入端,第(1)片的Q 0为左移位串行输出端。连接图如习题5.22图所示。

习题5.23 试用74194构成环形、扭环形计数器。 解:74194在时钟脉冲CP 的上升沿作用下,当M A =M B =1时,执行并行送数。当M A =1,M B =0时,执行右移操作。具有非自启动性能的环形计数器连接图如习题5.23(a )图所示。

0000。

习题5.24 采用中规模组件设计一个计数器性序列码发生器,产生周期序列1101000101(组合电路采用8输入数据选择器)。

解:给定序列码长度为S =10,故用一片74161设计一个模10计数器,这个计数

器采用前十种状态预置法,即“0000~1001”10个状态。令其状态转换过程中每一状态的输出符合给定序列的要求,可列出态序表如习题5.24表所示。采用8选1数据选择器576。分别作出序列信号Z 的卡诺图与选择器的卡诺图如习题5.24(a )、(b )图所示。将Q 3、Q 2、Q 1接到576的地址输入端A 2、A 1、A 0。再比较两张卡诺图得D 4=D 5 =D 6=D 2=0,D 7=D 3= D 1=1,

习题5.22图 右移位 串行输出

习题5.23图

(a ) (b )

第十三章 几种常用的时序逻辑电路

第十三章几种常用的时序逻辑电路 一、填空题 1.与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.触发器是数字电路中______(a.有记忆 b.非记忆)的基本逻辑单元。 3.在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4. JK触发器是________(a.CP为1有效b.CP边沿有效)。 +=+是_______触发器的特性方程。 5.1n n n Q JQ KQ 6.1n n +=+是________触发器的特性方程,其约束条件为Q S RQ ___________。 +=+是_____触发器的特征方程。 7.1n n n Q TQ TQ 8.在T触发器中,若使T=____,则每输入一个CP,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T触发器,它的特征方程是________________。 9.我们可以用JK触发器转换成其他逻辑功能触发器,令 __________________,即转换成T触发器;令_______________, 即转换为'T触发器;令________________,即转换成D触发器。10.我们可以用D触发器转换成其他逻辑功能触发器,令 __________________,即转换成T触发器;令_______________, 即转换为'T触发器。 11.寄存器存放数据的方式有____________和___________;取出数据的方式有____________和___________。 12.寄存器分为_________寄存器和__________寄存器。 13.双拍工作方式的数码寄存器工作时需_____________。

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

第六章 时序逻辑电路(阎)

第六章时序逻辑电路

6.1 概述 一、时序逻辑电路的特点 1.功能上:任一时刻的输出不仅取决于该时刻的输入, 还与电路原来的状态有关。 例:串行加法器,两个多位数从低位到高位逐位相加 2. 电路结构上 ①一定包含存储电路 ②存储器状态和输入变量共同决 定输出.

二、时序电路的一般结构形式与功能描述方法

可以用三个方程组来描述:?????===),...,,,...,,(... ),...,,,,....,,() ,(21211212111l j l i q q q x x f y q q q x x x f y Q X F Y 输出方程?????===),...,,,,...,,(...),...,,,,...,,(),(21211212111l i k l i q q q x x x g z q q q x x x g z Q X F Y 驱动方程?????===+++) ,...,,,,...,,(...),...,,,,...,,() ,(2121121211111n l n n i l n l n l n n i n n n q q q z z z h q q q q z z z h q Q Z H Q 状态方程

三、时序电路的分类 1、同步时序电路与异步时序电路 同步:存储电路中所有触发器的时钟使用统一的cp, 触发器状态变化发生在同一时刻。 异步:没有统一的cp,触发器状态的变化有先有后。 2、Mealy 型和Moore 型 Mealy 型:Moore 型:仅取决于电路状态有关、与) Q (F Y Q X ) Q ,X (F Y ==

第五章时序逻辑电路

第五章时序逻辑电路

第五章 触发器 本章教学目的、要求: 1. 掌握各种触发器的逻辑功能和工作原理。 2. 熟悉各种触发器的电路结构及动作特点。 3. 了解不同功能触发器之间的相互转换。 重点:触发器的逻辑功能和动作特点。 难点:触发器的不同电路结构及各自的动作特点。 第一节 概 述 触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF 表示。 特点: 1.具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。 2.根据不同的输入信号可以置成 1 或 0 状态。 根据电路结构不同分为:基本RS 触发器、同步RS 触发器、主从触发器、边沿触发器。 按逻辑功能分:RSFF 、DFF 、JKFF 、TFF 等。 3.根据存储数据的原理不同分为:静态触发器和动态触发器。 第二节 SR 锁存器 一、电路结构与工作原理 1.电路结构和工作原理: 触发器的1状态:0,1='=Q Q 触发器的0状态:1,0='=Q Q ① 当R'D =0, S' D =1时,无论触发器原来处于什么状态,其次态一定为0,即Q =0,Q' =1,称触发器处于置0(复位)状态。 ② 当R'D =1,S'D =0时,无论触发器原来处于什么状态,其次态一定为1,即Q =1,Q'=0, S R 图形符号 Q Q ' D 'S D 'R 置位端 或置1 复位端 或 Q Q ' D 'S D 'R 电路结构

称触发器处于置1(置位)状态。 ③ 当R'D =1,S'D =1时,触发器状态不变,即Q *=Q ,称触发器处于保持(记忆)状态。 ④ 当R'D =0,S'D =0时,两个与非门输出均为1(高电平),此时破坏了触发器的互补输出关系,而且当R'D 、S'D 同时从0变化为1时,由于门的延迟时间不一致,使触发器的次态不确定,即Q *=?,这种情况是不允许的。因此规定输入信号R'D 、S'D 不能同时为0,它们应遵循R'D + S'D =1的约束条件。 从以上分析可见,基本RS 触发器具有置0、置1和保持的逻辑功能,通常称S'D 为置1端或置位(SET)端,R'D 称为置0或复位(RESET)端,因此该触发器又称为置位—复位(SetReset)触发器或R D S D 触发器,其逻辑符号如上图所示。因为它是以R'D 和S'D 为低电平时被清0和置1的,所以称R'D 、S'D 低电平有效,且在图中输入端加有小圆圈。 2.逻辑功能的描述 ①特性表 用与非门构成的基本RSFF 也可用右表描述。 只需将表中的R'D 和S'D 看作是该触发器输入信号 ②特性方程: ③状态转换图:(简称状态图) *='+=D D D D R S Q R S Q R = 0 R = ×S =0S =× R =0 R = 1S = 0 置1 置0 不允许 保持

第6章-时序逻辑电路.

6 时序逻辑电路 6.1.1 已知一时序电路的状态表如表题6.1.1所示,A为输入信号,试作出相应的状态图。 解:由状态图的概念及已知的状态表,可画出对应的状态图,如图题解6.1.1所示。 6.1.2已知状态表如表题6.1.2所示,输入为X1X0,试作出相应的状态图。 解:根据表题6.1.2所示的状态表,作出对应的状态图如图题解6.1.2所示。

6.1.3已知状态图如图题6.1.3所示,试列出它的状态表。 解:按图题6.1.3列出的状态表如表题解6.1.3所示。 6.1.5 图题6.1.5所示是某时序电路的状态图,设电路的初始状态为01,当序列A=100110(自左至右输入)时,求该 电路输出Z的序列。 解:由图题6.1.5所示的状态图可知,当初态为01,输入信号的序列A=100110时,该时序 电路将按图题解6.1.5所示的顺序改变状态,因而对应的输出序列为Z=011010。

6.1.6已知某时序电路的状态表如表题6.1.6所示,输入A,试画出它的状态图。如果电路的初始状态在b,输入信号A一次是0、1、0、1、1、1、1,试求出其相应的输出。 解:根据表题6.1.6所示的状态表,可直接画出与其对应的状态图,如图题解6.1.6(a)当从初态b开始,依次输入0、1、0、1、1、1、1信号时,该时序电路将按图题解6.1.6(b)所示的顺序改变状态,因而其对应的输出为1、0、1、0、1、0、1。 6.2 同步时序逻辑电路的分析 6.2.1 试分析图题6.2.1(a)所示时序电路,画出其状态表和状态图。设电路的初始状态为0,试画出6.2.1(b)所示波形作用下,Q和Z的波形图。

第十三章 时序逻辑电路

第十三章时序逻辑电路 第十四章脉冲的产生和整形电路 盐城技师学院 一、填空题(每格1分,共20分) 1、时序电路是由和组成。 2、时序逻辑电路在逻辑功能方面的特点是电路的输出状态不仅与 有关,而且与有关。 3、一个十进制为37,则对应的二进制数为,对应的8421BCD码 为。 4、一个四位8421BCD码十进制加法计数器,若初始状态为0000,输入第 七个脉冲后,计数器的状态为,输入第十个脉冲后,计数器的状态为。 5、一个七段数码管显示器,输入为高电平时数码管发光,则当 abcdefg=1011011时,显示的十进制数为。 6、施密特触发器是一个有的反相器,是一个稳态触发 器,具有特性。 7、多谐荡器没有,电路不停地在两个之间转换,而这个 转换的快慢主要取决于的速度。 8、单稳态触发器在脉冲电路中广泛应用于电路的、等方面。 9、施密特触发器的主要用途有、和。 二、判断题(每题2分。共16分) ()1、移位寄存器可以并行输出,也可以串输出。

()2、多谐振荡器输出的们号是正弦波。 ()3、有8个触发器的二进制异步计数器能表达到56种状态。 ()4、编码是译码的逆过程。 ()5、数码寄存器不但具有寄存器数码的功能,而且还有数码移位的功能。 ( ) 6、单稳态触发器电路的最大工作频率由外加觖发脉冲的频率决定。()7、由三个触发器组成的二进制加法计数器,计数器最大的模是10。()8、构成计数器电路的器件必须具有记忆功能。 三、选择题(每题4分,共32分) 1、一个十进制计数器,至少需要几个触发器构成?() A、2个 B、3个 C、4个 D、5个 2、一个八进制计数器,最多能记忆()个脉冲,第()个脉冲到来后, 向高位进一。 A、7 B、8 C、9 D、10 3、一个512位移位寄存器用作廷迟线,如果时钟频率是4MHZ,则数据通 过该廷迟线的时间为() A、128us B、127.75us C、256us D、125us 4、下列电路中不属于时序电路的是() A、计数器 B、数码寄存器 C、译码器 D、触发器 5、施密特触发器常用于对脉冲波形的() A、延时和定时 B、计数与寄存 C、整形与变换

第八章时序逻辑电路学习资料

第八章时序逻辑电路

第八章时序逻辑电路 第一节寄存器 一、单项选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。() A.N-1 B.N C.N+1 D.2N 2.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 3.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是() A.1011-0110-1100-1000-0000 B.1011-0101-0010-0001-0000 C.1011-1100-1101-1110-1111 D.1011-1010-1001-1000-0111 5.由三级触发器构成环形计数器的计数摸值为( ) A.8 B.6 C.3 D.16 6.如图8-7所示电路的功能为()A.并行输入寄存器 B.移位寄存器 C.计数器 D.序列信号发生器 7.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。() A.2 B.4 C.8 D.16 8.现欲将一个数据串延时4个CP的时间,则最简单的办法采用() A.4位并行寄存器 B.4位移位寄存器 C.4进制计数器 D.4位加法器 二、判断题 1.时序电路中不含有记忆功能的器件。( ) 2.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。() 仅供学习与交流,如有侵权请联系网站删除谢谢2

3.时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( ) 4.时序电路一定不要组合电路。() 三、多项选择题 1.寄存器按照功能不同可分为() A.数据寄存器 B.移位寄存器 C.暂存器 D.计数器 2.数码寄存器的特点是() A.存储时间短 B.速度快 C.可做高速缓冲器 D.一旦停电后存储数码全部消失 3.移位寄存器按移位方式可分为() A.左移移位寄存器 B.右移移位寄存器 C.双向移位寄存器 D.集成移位寄存器 第二节计数器 一、填空题1.触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 2.按进位体制的不同,计数器可分为计数器和计数器等;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。 3.要构成五进制计数器,至少需要个触发器。 4.设集成十进制(默认为8421码)加法计数器的初态为Q3Q2Q1Q0=1001,则经过5个CP 脉冲以后计数器的状态为 . 5.在各种寄存器中,存放N位二进制数码需要个触发器。 二、单项选择题 1.按各触发器的CP所决定的状态转换区分,计数器可分为计数器。() A.加法、减法和可逆 B.同步和异步 C.二、十和N进制 D.以上均不正确 2.将一个D触发器处于技术状态时,下列做法正确的是() A.D端接固定高电平 B.D端悬空 C.D端与Q端相联 D.D与Q非端相联 仅供学习与交流,如有侵权请联系网站删除谢谢3

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

第13章触发器及时序逻辑电路习题汇总

第十三章触发器和时序逻辑电路 13.1重点内容提要 时序逻辑电路由组合逻辑电路和具有记忆作用的触发器构成。时序逻辑电路的特点是:其输出不仅仅取决于电路的当前输入,而且还与电路的原来状态有关。 1.双稳态触发器 双稳态触发器的特点: 1).有两个互补的输出端Q 和Q。 2).有两个稳定状态。“1”状态和“0” 状态。通常将Q = 1和Q= 0 称为“1”状态,而把Q = 0和Q = 1称为“0” 状态。 3).当输入信号不发生变化时,触发器状态稳定不变。 4).在一定输入信号作用下,触发器可以从一个稳定状态转移到另一个稳定状态。 按其逻辑功能,触发器可分为:RS触发器,JK触发器、D触发器、T触发器和T’触发器。 各时钟控制触发器的逻辑符号和逻辑功能见表13.1.1: 把一种已有的触发器通过加入转换逻辑电路,可以转换成为另一种功能的触发器。 2.同步时序逻辑电路的分析

同步时序逻辑电路的分析步骤如下: 1.由给定的逻辑电路图写出下列各逻辑方程式: (1)各触发器的特性方程。 (2)各触发器的驱动方程。 (3)时序电路的输出方程。 2.将驱动方程代入相应触发器的特性方程,求得电路的状态方程(或次态方程)。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态转换图或时序图。 4.根据电路的状态转换图说明该时序逻辑电路的逻辑功能。 3.典型的时序逻辑电路 在数字系统中,最典型的时序逻辑电路是寄存器和计数器。 1)寄存器 寄存器是用来存储数据或运算结果的一种常用逻辑部件。寄存器的主要组成部分是在双稳态触发器基础上加上一些逻辑门构成。按功能分,寄存器分为数码寄存器和移位寄存器。移位寄存器是既能寄存数码,又能在时钟脉冲的作用下使数码向高位或向低位移动的逻辑功能部件。通常有左移寄存器、右移寄存器、双向移位寄存器和循环移位寄存器。移位寄存器可实现数据的串行、并行转换,数据的运算和数据的处理等。 2)计数器 计数器是一种对输入脉冲数目进行计数的时序逻辑电路,被计数的脉冲信号称为计数脉冲。计数器除计数外,还可以实现定时、分频等,在计算机及数字系统中应用极广。 计数器种类很多,通常有如下不同的分类方法。 (1)按逻辑功能可分为加法计数器、减法计数器和可逆计数器。 (2)按计数进制可分为二进制计数器、十进制计数器和任意进制计数器等。 (3)按工作方式可分为同步计数器和异步计数器。 集成电路74161型四位同步二进制计数器 图13.1.1为74161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中D R 是异步 (a ) 外引线排列图 (b ) 逻辑符号 图13.1.1 74161型四位同步二进制计数器 清零端,LD 是预置数控制端,0123A A A A 是预置数据输入端,EP 和ET 是计数控制端,Q 3Q 2Q 1Q 0是计数输出端,RCO 是进位输出端。74161型四位同步二进制计数器具有以下功能: ① 异步清零。D R =0时,计数器输出被直接清零,与其他输入端的状态无关。 ② 同步并行预置数。在D R =1条件下,当LD =0且有时钟脉冲CP 的上升沿作用时,3A 、2A 、1A 、0A 输入端的数据3d 、2d 、1d 、0d 将分别被3Q 、2Q 、1Q 、0Q 所接收。 ③ 保持。在D R LD ==1条件下,当=?EP ET 0,不管有无CP 脉冲作用,计数器都将保持原有状态

实验三 时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由8 个LED 组成,工作时始终为1 暗7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0 和8 个LED 上的波形。 2、序列发生器(第10 周课内实物验收计数器方案)分别用MSI 计数器和移位寄存器设计一个具有自启动功能的01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入TTL 连续脉冲,用示波器观察观察并记录时钟脉冲CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨0 和1,从高电平到低电平的跳变代表0,而从低电平到高电平的跳变代表1。信号的保持不会超过一个比特位的时间间隔。即使是0 或1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当4 位数据全部传输完成后,重新加载新数据,继续传输,如图3.2 所示。

第5章--时序逻辑电路习题解答

5-1 分析图5.77所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图5.77 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图5.78所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图5.78 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图5.79(a)所示,其输入波形如图5.79 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图5.79 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

最新数字电路第六章时序逻辑电路练习题CAO

第六章时序逻辑电路复习练习题 一、填空题: 1.构造一个模6计数器需要个状态,个触发器。构成一个1位十进制同步加法计数器至少需要()个JK触发器,一个1位5进制同步加法计数器至少需要()个JK触发器。 2.若要构成七进制计数器,最少用_________个触发器,它有______个无效状态。 3.构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 4. 一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 5. 要组成模15计数器,至少需要采用 4 个触发器。 6.按计数器中各触发器翻转时间可分为_同步计数器_,异步计数器_。 7. 74LS161是_a_(a.同步b.异步)二进制计数器。它具有_清除_,_置数__,_保持_和计数等四种功能。 8. 74LS290是__b__(a.同步b.异步)非二进制计数器。 9.在计数过程中,利用反馈提供置数信号,使计数器将指定数置入,并由此状态继续计数,可构成N进制计数器,该方法有_同步_置数和_异步置数两种。 10.将模为M和N的两片计数器a_(a.串接b.并接),可扩展成__M*N__进制的计数器。 二、选择题: 1、一个计数器的状态变化为:000 001 010 011 100 000,则该计数器是( 2 )进制(3 )法计数器。 (1)4 (2)5 (3)加(4)减 2、用n个触发器构成计数器,可得到的最大计数长度为( A ) A. 2n B.2n C.2n D.n 3、一块7490十进制计数器中,它含有的触发器个数是( A ) A. 4 B. 2 C. 1 D. 6 4.一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10 5、利用中规模集成计数器构成任意进制计数器的方法有( ABC ) A.复位法 B.预置数法 C.级联复位法 三.判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√) (4)计数器的模是指构成计数器的触发器的个数。(×)1、二进制加法计数器从0计数到十进制24时,需要5个触发器构成,有7个

数字逻辑与数字电路电子体库第五章时序逻辑电路

时序逻辑电路 一、分析图所示的时序电路。A 为输入逻辑变量。 (1)写出电路的驱动方程、状态方程、输出方程; (2)列出电路的状态转换表,并画出完整的状态转换图; (3)说明电路的功能。 二、分析如图所示的时序电路。 (1)写出电路的驱动方程、状态方程、输出方程; (2)列出电路的状态转换表,并画出状态转换图; (3)检查电路能否自启动,说明电路实现的功能。 CLK 三、分析如图所示的时序电路。 (1)写出电路的驱动方程、状态方程; (2)列出电路的状态转换表,并画出状态转换图;

(3)说明电路能否自启动。 CLK 11J 1K C1 1J 1K C1 Q1 Q0 FF0FF1 1J 1K C1 FF2 Q2 四、试写出下图所示时序电路的驱动方程、状态方程和输出方程,画出电路的状态转换图并分析电路的逻辑功能。 五、分析下图电路的逻辑功能,要求: 1、写出驱动方程、状态方程、输出方程; 2、写出状态转换图(或转换表); 3、分析此电路功能,并判断能否自启动。

六、分析下图电路的逻辑功能,要求: 1、写出驱动方程、状态方程、输出方程; 2、写出状态转换图(或转换表); 3、分析此电路功能,并判断能否自启动。 七、分析如图所示时序逻辑电路的逻辑功能,画出电路的状态转换图,说明电路是否具有自启动特性。 八、试用一片十进制计数器74160接成八进制计数器(允许附加必要的门电路),并作简要说明。74160的引脚图如下所示。 D R 74160 EP ET CLK C LD Q 1Q 2Q 3 Q 0D 0D 1D 2 D 3R D 九、试用一片4位同步二进制计数器74LS161接成十一进制计数器(允许附加必要的门电

【可直接使用】第13章触发器及时序逻辑电路习题.doc

1 第十三章 触发器和时序逻辑电路 13.1重点内容提要 时序逻辑电路由组合逻辑电路和具有记忆作用的触发器构成。时序逻辑电路的特点是:其输出不仅仅取决于电路的当前输入,而且还与电路的原来状态有关。 1. 双稳态触发器 双稳态触发器的特点: 1).有两个互补的输出端 Q 和Q 。 2).有两个稳定状态。“1”状态和“0” 状态。通常将 Q = 1和Q = 0 称为“1”状态,而把Q = 0和Q = 1称为“0” 状态。 3).当输入信号不发生变化时,触发器状态稳定不变。 4).在一定输入信号作用下,触发器可以从一个稳定状态转移到另一个稳定状态。 按其逻辑功能,触发器可分为:RS 触发器,JK 触发器、D 触发器、T 触发器和T ’触发器。 各时钟控制触发器的逻辑符号和逻辑功能见表13.1.1: 名称 逻辑符号 次态方程 RS 触发器 Q R S Q n +=+1 =?S R 0 (约束方程) JK 触发器 1n n n Q JQ KQ +=+ D 触发器 D Q n =+1 T 触发器 1n n Q T Q +=⊕ T ’ 触发器 1n n Q Q += 把一种已有的触发器通过加入转换逻辑电路,可以转换成为另一种功能的触发器。 2.同步时序逻辑电路的分析

精选 同步时序逻辑电路的分析步骤如下: 1.由给定的逻辑电路图写出下列各逻辑方程式: (1)各触发器的特性方程。 (2)各触发器的驱动方程。 (3)时序电路的输出方程。 2.将驱动方程代入相应触发器的特性方程,求得电路的状态方程(或次态方程)。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态转换图或时序图。 4.根据电路的状态转换图说明该时序逻辑电路的逻辑功能。 3.典型的时序逻辑电路 在数字系统中,最典型的时序逻辑电路是寄存器和计数器。 1)寄存器 寄存器是用来存储数据或运算结果的一种常用逻辑部件。寄存器的主要组成部分是在双稳态触发器基础上加上一些逻辑门构成。按功能分,寄存器分为数码寄存器和移位寄存器。移位寄存器是既能寄存数码,又能在时钟脉冲的作用下使数码向高位或向低位移动的逻辑功能部件。通常有左移寄存器、右移寄存器、双向移位寄存器和循环移位寄存器。移位寄存器可实现数据的串行、并行转换,数据的运算和数据的处理等。 2)计数器 计数器是一种对输入脉冲数目进行计数的时序逻辑电路,被计数的脉冲信号称为计数脉冲。计数器除计数外,还可以实现定时、分频等,在计算机及数字系统中应用极广。 计数器种类很多,通常有如下不同的分类方法。 (1)按逻辑功能可分为加法计数器、减法计数器和可逆计数器。 (2)按计数进制可分为二进制计数器、十进制计数器和任意进制计数器等。 (3)按工作方式可分为同步计数器和异步计数器。 集成电路74161型四位同步二进制计数器 图13.1.1为74161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中D R 是异步 (a ) 外引线排列图 (b ) 逻辑符号 图13.1.1 74161型四位同步二进制计数器 清零端,LD 是预置数控制端,0123A A A A 是预置数据输入端,EP 和ET 是计数控制端,Q 3Q 2Q 1Q 0是计数输出端,RCO 是进位输出端。74161型四位同步二进制计数器具有以下功能: ① 异步清零。D R =0时,计数器输出被直接清零,与其他输入端的状态无关。 ② 同步并行预置数。在D R =1条件下,当LD =0且有时钟脉冲CP 的上升沿作用时,3A 、2A 、1A 、0A 输入端的数据3d 、2d 、1d 、0d 将分别被3Q 、2Q 、1Q 、0Q 所接收。 ③ 保持。在D R LD ==1条件下,当=?EP ET 0,不管有无CP 脉冲作用,计数器都将保持原有状态

第13章_组合逻辑电路和时序逻辑电路习题答案

习题13 13-1分析如习题13-47图所示电路的逻辑功能。 图13-47 习题13-1图 解:Array + = Y+ AB ABC AC 该电路功能为三人表决电路, A具有否决权。 13-2分析如习题13-48图所示电路的逻辑功能。 图13-48 习题13-2图

解: B A C B C A Y ++= 从真值表中可以看出此电路实现的功能是:检测三个输入是否全相同。 13-3 已知一个组合逻辑电路的输入A ,B 和输出Y 的波形如图13-49所示,写出Y 的逻辑表达式,用与非门实现该组合逻辑电路。 图13-49 习题13-3图 解: B A B A B A B A Y ?=+= A B Y

13-4由两个或非门组成的基本RS 触发器及S ,R 端的波形如图13-50所示,请画出Q 端和Q 端的波形。 图13-50 习题13-4图 解: S R 状态不定 状态不定 Q Q 13-5 JK 触发器的逻辑图及输入波形如图13-51,请画出输出端Q 的波形。

图13-51 习题13-5图 解: Q J CP K 13-6 判断下列说法是否正确: (1) 仅有触发器构成的逻辑电路一定是时序逻辑电路。 (2) 仅有门电路构成的逻辑电路一定是组合逻辑电路。 (3) 计数器是执行连续加1操作的逻辑电路。 (4) n 个触发器可以组成存放2n 位二进制代码的寄存器。 (5) 左移移位寄存器是将所存储的数码逐位向触发器的高位移。 (6) 左移移位寄存器的串行输入端应按照先高位后低位的顺序输入代码。 答:(1)对;(2)错;(3)错;(4)错;(5)错;(6)错。 13-7 由四位双向移位寄存器74LS194构成的电路如图13-52所示,设初态为0000,请列出状态转换表。

第5章时序逻辑电路思考题与习题题解

思考题与习题题解 5-1 填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√) (4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 μS μS μS (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。

第5章时序逻辑电路习题解答

CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=??=?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 121()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=?? e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为:

01n n Y AQ Q 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示 Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为:

第十三章 时序逻辑电路习题及答案

第十三章时序逻辑电路习题及答案 一、填空题 1、数字逻辑电路常分为组合逻辑电路和两种类型。 2、时序逻辑电路是指任何时刻电路的稳定输出信号不仅与当时的输入信号有 关,而且与有关。 3、时序逻辑电路由两大部分组成。 4、时序逻辑电路按状态转换来分,可分为两大类。 5、时序逻辑电路按输出的依从关系来分,可分为两种类型。 6、同步时序电路有两种分析方法,一种是另一种是。 7、同步时序电路的设计过程,实为同步时序电路分析过程的过程。 8、计数器种类繁多,若按计数脉冲的输入方式不同,可分两大类。 9、按计数器进制不同,可将计数器分为。 10、按计数器增减情况不同,可将计数器分。 11、二进制计数器是逢二进一的,如果把n个触发器按一定的方式链接起来,可枸 成。 12、一个十进制加法计数器需要由 J-K触发器组成。 13、三个二进制计数器累计脉冲个数为;四个二进制计数器累计脉 冲个数为。 14、寄存器可暂存各种数据和信息,从功能分类,通常将寄存器分 为。 15、数码输入寄存器的方式有;从寄存器输出数码的方式 有。 16、异步时序逻辑电路可分为和。 17、移位寄存器中,数码逐位输入的方式称为。 18、计数器可以从三个方面进行分类:按__ _ _方式,按_________________方式,按 ______________方式。 19、三位二进制加法计数器最多能累计__个脉冲。若要记录12个脉冲需要___个触发器。 20、一个四位二进制异步加法计数器,若输入的频率为6400H Z,在3200个计数脉冲到来后, 并行输出的频率分别为______H Z,_____ H Z,____ H Z,_____ H Z。一个四位二进制加法计数器起始状态为1001,当最低位接收到4个脉冲时,各触发器的输出状态是:Q0为__;Q1为__;Q2为__;Q3为__。 21、时序逻辑电路的特点是:任意时刻的输出不仅取决于______________,而且与电路的 ______有关。 22、寄存器一般都是借助有________功能的触发器组合起来构成的,一个触发器存储____ 二进制信号,寄存N位二进制数码,就需要__个触发器。 23、寄存器的主要任务是存储______________或____,通常____所存储的内容进行处理。 24、具有移位功能的寄存器,叫做__________,它又可分为____、____和________寄存器。 25、四位右移移位寄存器,在四个CP周期里,输入的代码依次为1011,经过三个CP周期 后,有__位代码被移入移位寄存器中,串行输出的状态是__,并行输出的状态是____。 二、选择题 1、时序逻辑电路可由()组成。 A、门电路 B、触发器或门电路 C、触发器或触发器和门电路的组合

相关文档
最新文档