电子科技大学微固学院集成电路原理实验报告

电子科技大学微固学院集成电路原理实验报告
电子科技大学微固学院集成电路原理实验报告

电子科技大学微电子与固体电子学院标准实验报告

课程名称集成电路原理

电子科技大学教务处制表

电子科技大学

实验报告

学生姓名: 学号:指导教师:于奇

实验地点:实验时间:

一、实验室名称:微电子技术实验室

二、实验项目名称:集成运算放大器参数的测试

三、实验学时:4

四、实验原理:

运算放大器符号如图1所示,有两个输入端。一个是反相输入端用“-”表示,另一个是同相输入端用“+”表示。可以是单端输入,也可是双端输入。若把输入信号接在“-”输入端,而“+”端接地,或通过电阻接地,则输出信号与输入信号反相,反之则同相。若两个输入端同时输入信号电压为V- 和V+ 时,其差动输入信号为VID= V- - V+ 。开环输出电压V0=AVOVID 。AVO为开环电压放大倍数。

运算放大器在实际使用中,为了改善电路的性能,在输入端和输出端之间总是接有不同的反馈网络。通常是接在输出端和反相输入端之间。

图1 运算放大器符号

本实验的重点在于根据实验指导书要求,对开环电压增益、输入失调电压、共模抑制比、电压转换速率和脉冲响应时间等主要运放参数进行测量。

五、实验目的:

运算放大器是一种直接耦合的高增益放大器,在外接不同反馈网络后,就可具有不同的运算功能。运算放大器除了可对输入信号进行加、减、乘、除、微分、等数学运算外,还在自动控制、测量技术、仪器仪表等各个领域得到广泛应用。

为了更好地使用运算放大器,必须对它的各种参数有一个较为全面的了解。运算放大器结构十分复杂,参数很多,测试方法各异,需要分别进行测量。本实验正是基于如上的技术应用背景和《集成电路原理》课程设置及其特点而设置,目的在于:

(1)了解集成电路测试的常用仪器仪表使用方法及注意事项。

(2)学习集成运算放大器主要参数的测试原理,掌握这些主要参数的测试方法。

通过该实验,使学生了解运算放大器测试结构和方法,加深感性认识,增强学生的实验与综合分析能力,进而为今后从事科研、开发工作打下良好基础。

六、实验内容:

1 .开环电压增益测量。

2 .开环输出电阻测量。

3 .输入失调电压测量。

4 .共模抑制比测量。

5 .电压转换速率测量。

6 .脉冲响应时间测量。

七、实验器材:

(1)直流稳压电源一台

(2)数字双踪示波器* 一台

(3)信号发生器一台

(4)实验测试板及连接线一套

(5)常见通用运算放大器IC样品一块

八、实验步骤:

1、首先熟悉数字双踪示波器和信号源的使用,根据指导书要求搭建各参数的测试电路。注意所选电阻、电容的值,不能确定时要用万用表测量;在测试板上连接测试电路时应注意各运放集成块各管脚的功能,以免连接错误。

2、各参数的测试

(1)、开环电压增益

由于开环电压增益 AV0很大,输入信号VI很小,加之输入电压与输出电压之间有相位差,从而引人了较大的测试误差,实际测试中难以实现。测试开环电压增益时,都采用交流开环,直流闭环的方法。测试原理如图2 所示。

图2 开环直流电压增益测试原理图

直流通过RF实现全反馈,放大器的直流增益很小,故输入直流电平十分稳

定,不需进行零点调节。取 CF足够大,以满足 RF 》 l/ CF ,使放大器的反相端交流接地,以保证交流开环的目的。这样只要测得交流信号电压vS和vo,就能得到

(1)

在讯号频率固定的条件下,增加输入信号电压幅度,使输出端获得最大无失真的波形。保持输入电压不变,增加输入电压频率,当输出电压的幅值降低到低频率值的 0.707倍,此时频率为开环带宽。

(2)、输入失调电压VIO

图3 输入失调电压和失调电流测试原理图

由于运放电路参数的不对称,使得两个输入端都接地时,输出电压不为零,称为放大器的失调。为了使输出电压回到零,就必须在输入端加上一个纠偏电压来补偿这种失调,这个所加的纠偏电压就叫运算放大器的输入失调电压,用VIO表示。故VIO的定义为使输出电压为零在两输入端之间需加有的直流补偿电压。

输入失调电压的测量原理如图3所示。图中直流电路通过 RI 和 RF 接成闭合环路。通常RI的取值不超过 100 , RF 》 RI。

(3)共模抑制比kCMR

运放应对共模信号有很强的抑制能力。表征这种能力的参数叫共模抑制比,用kCMR表示。它定义为差模电压增益 AVD 和共模电压增益AVC之比,即

图4 共模抑制比测试原理图

测试原理如图 4所示。kCMR的大小往往与频率有关,同时也与输入信号大

小和波形有关。测量的频率不宜太高,信号不宜太大。

(4)、电压转换速率SR的测试

电压转换速率SR定义为运放在单位增益状态下,在运放输入端送入规定的大信号阶跃脉冲电压时,输出电压随时间的最大变化率。

图 5 电压转换速率侧试原理图

SR的测试原理如图 5(a )所示。测试时取 RI = RF ,在输入端送入脉冲电压,从输出端见到输出波形,如图5 (b)所示。这时可以规定过冲量的输出脉冲电压上升沿(下降沿)的恒定变化率区间内,取输出电压幅度V0和对应的时间t,由计算公式求出

(2)

通常上升过程和下降过程不同,故应分别测出 SR+和SR-。

(5)、脉冲晌应时间的测试(或称为建立时间)

图6 读取响应时间方法

脉冲响应时间包括上升时间,下降时间、延迟时间、和脉动时间等,测试原理仍如图 5(a)所示,取 RF>RI,RI远大于信号源内阻、规定的误差带为1%。读取响应时间方法如图 6 所示。其中 tr 为上升时间, tf 为下降时间, td(r 为上升延迟时间,td(f为下降延迟时间。

九、实验数据及结果分析:

1、开环增益

表1 开环增益测试数据列表

输入VS (mV)输出VO (V)测试条件

则: 此时仍然出现截止失真.

可得

2、输入失调电压

表2输入失调电压测试数据列表

则:

3、共模抑制比

表3 共模抑制比测试数据列表

则,共模增益:

可得其共模抑制比

631014.10035.0104?=?==VC VD CMR A A K 或 98.5dB 4、转换速率

表4 转换速率测试数据列表

5、单位增益带宽

表5 单位增益带宽测试数据列表

十、实验结论:

结合课程所学的知识,对A741双极运算放大器的主要参数进行了测试,熟悉了数字双踪示波器等常用仪器的使用技巧,掌握了通用运算放大器的测试方法,同时对课程中相关的理论知识有了更深入的认识。

十一、总结及心得体会:

通过本次实验,熟悉了数字双踪示波器等常用仪器的使用技巧,掌握了通用运算放大器的测试方法,加深了对所学理论知识的感性认识,增强了自身的实验与综合分析能力,进而为今后从事科研、开发工作打下良好基础。十二、对本实验过程及方法、手段的改进建议:

无。

一、实验室名称:微电子技术实验室

二、实验项目名称:集成电路版图识别与提取

三、实验学时:4

四、实验原理:

本实验重点放在版图识别、电路拓扑提取、电路功能分析三大模块,实验

流程如下:

(1)了解对塑封、陶瓷封装等不同封装形式的芯片解剖的方法及注意事项。

(2)学习并掌握集成电路版图的图形识别、电路拓扑结构提取。

(3)能对提取得到的电路进行功能分析、确定,并可运用PSPICE等ICCAD 工具展开模拟仿真。

六、实验内容:

1、Motic SMZ体视显微镜使用与操作练习。

2、在芯片上找出划线槽、分布在芯片边缘的压焊点、对位标记和CD Bar (特征尺寸线条)并测出有关的图形尺寸和间距。仔细观察芯片图形总体的布局布线,找出电源线、地线、输入端、输出端及其对应的压焊点。

3、判定此IC采用P阱还是N阱工艺;进行版图中元器件的辨认,要求分出MOS管、多晶硅电阻和MOS电容。

4、根据以上的判别依据,提取芯片上图形所表示的电路连接拓扑结构;复查,加以修正;应用PSPICE等电路模拟器进行仿真验证。

七、实验器材:

(1)可连续变倍体视显微镜 1台

(2)镊子、干燥器皿(含干燥剂) 1套

(3)未划片封装的圆片(含CMOS模拟电路) 1片

(4)微机 1台

八、实验步骤:

首先熟悉Motic SMZ体视显微镜的使用。

(1)接通电源,选择视野光源。该显微镜备有两种光源:透射式和入射式,芯片为不透明样片,故采用入射光源。

(2)与一般显微镜不同的是,该显微镜物镜放大倍数连续可调,便于操作;焦距的变化通过调节升降杆旋钮实现。注意调节过程中不可猛升猛降,以免损坏仪器。

2、调节可变倍物镜,将放大倍数调变至最小,再调节物镜与样品距离,至视野清晰,确定所需观察的样品位置。增大放大倍数,并调节焦距,至可在视野内清楚地看到4个电路块(Chip)。此时所见到的每块之间的沟槽即为划片槽,封装前将圆片沿此槽划开,得到单个的芯片,将各压焊点用引线引出封装就是平时所用的集成电路块。

3、调节显微镜,在芯片内查找出对位标记和CD Bar(特征尺寸线条)。发现在芯片右上角有一块区域为对位标记和CD条,由对位标记可知,该电路共有13块掩模版,每次对位均以第一块版P阱版为准,避免了以往采用的后一次以上一次为准带来的套刻误差传递的危险,套刻精度大为改善。

4、进一步增大放大倍数,使视野内只有一个Chip出现,在其四周找出较大的亮的方框,即为压焊点,先根据与压焊点相连的连线的宽窄定出正、负电源线或地线,因本电路采用正负电源,判定上方左起第3个压焊点接正电源,下方第左起第1个压焊点接负电源。再根据与正、负电源线的连接情况,输入端一般都加二极管保护电路,可先查到有二极管保护电路的部分,分析与其相接的连线情况,确定芯片上方左起第1、2压焊点为两个

输入端压焊点。

5、根据在衬底和阱中的器件与正、负电源线或地线的连接情况,判定此IC 采用P阱还是N阱工艺。由观测到的图形可以发现,阱及其保护环与负电源相接,判定为P阱工艺。

6、确定本电路采用的为P阱工艺之后,进行版图中元器件的辨认。首先可以看出采用了多晶硅栅,且在输入压焊点到输入管之间有一段多晶硅,但又无连线的“交叉”出现,排除了“过桥”的可能,初步判断为电阻,再根据其与二极管保护电路连接最终与输入管相接,可断定是输入端起限流作用的电阻。

7、因已确定为P阱工艺,则阱和保护环内的器件应为NMOS管,由图形可见,两输入管共用一个源极,且源与P阱相接,但未接负电源,而是与另一个N管的漏相接,该N管的源极与负电源相接,意味着阱电位是浮动的,这是为了消除输入管衬底偏置效应采取的措施。两输入管的漏极分别与另外两个P管的漏相接,这两个P管的源和衬底相连并与正电源连接,且其中一个P管的漏与栅极短接,说明这两个P管构成了电流镜。类似可识别出其他的P管和N管。

8、根据如上的图形识别,将提取得到的各器件连接并整理成电路图。

九、实验数据及结果分析:

通过本次实验掌握了IC版图识别和电路提取的基本技能,和版图编辑软件LEDIT的使用方法,达到了实验目的。

图1 由版图提取出的差分放大输入级电路

根据实验观察分析,按要求提取出芯片上输入电路部分的拓扑结构,其电

路图如图1所示。可见,实验样片为一个采用CMOS P阱工艺制造的放大器电路,该电路为典型的差分放大输入级。由电路图可以看出,器件连接方式正确,能完成确定的功能,说明提取结果是正确的。

十、实验结论:

结合课程所学的知识,对一种模拟集成电路进行了版图识别与提取,分析出该电路采用了硅栅P 阱CMOS工艺,电路结构为带输入保护的典型差分输入放大器。其中,在版图中差分对的对称性考虑、电流镜的匹配设计有特色,值得今后设计中借鉴。

十一、总结及心得体会:

通过本次实验,了解了IC内部结构及其主要工艺特点,加深了对微电子集成电路实际版图的感性认识,增强了自身的实验与综合分析能力,学习了逆向设计的基本方法,进而为今后从事科研、开发工作打下良好基础。

十二、对本实验过程及方法、手段的改进建议:

无。

一、实验室名称:微电子技术实验室

二、实验项目名称:CMOS模拟集成电路设计与仿真

三、实验学时:4

四、实验原理

参照实验指导书。

五、实验目的

本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于:

根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。

学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。

六、实验内容

1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。

2、设计一个运算放大器电路,要求其增益大于40dB, 相位裕度大于60o,功耗小于10mW。

3、根据设计指标要求,选取、确定适合的电路结构,并进行计算分析。

4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans 分析、建立时间小信号特性和压摆率大信号分析,能熟练掌握各种分析的参数设置方法。

5、电路性能的优化与器件参数调试,要求达到预定的技术指标。

6、整理仿真数据与曲线图表,撰写并提交实验报告。

七、实验仪器设备

(1)工作站或微机终端一台

(2)局域网

(3)EDA仿真软件 1套

八、实验步骤

1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握Cadence EDA 仿真环境的调用。

2、根据设计指标要求,设计出如下图所示的电路结构。并进行计算分析,确定其中各器件的参数。

电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans分析,

能熟练掌握各种分析的参数设置方法。

电路性能的优化与器件参数调试,要求达到预定的技术指标。

九、实验数据及结果分析:

通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。达到了实验目的。

根据设计指标要求,设计出一种运算放大器,并进行了参数优化,最终指标满足要求。

十、实验结论:

通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路的设计,并进行了优化仿真,其难点是电路结构设计和参数优化。

十一、总结及心得体会:

通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行了运放电路的设计与仿真。综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握了基本的模拟IC设计技巧。为今后从事科研、开发工作打下良好基础。

十二、对本实验过程及方法、手段的改进建议:

一、实验室名称:微电子技术实验室

二、实验项目名称:模拟集成电路版图设计与验证

三、实验学时:4

四、实验原理

参照实验指导书。

五、实验目的

本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于:

根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。

学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证。

六、实验内容

UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。

2、根据设计指标要求,自主完成版图设计,并掌握布局布线的基本技巧。

3、对所绘制的版图进行DRC、ERC检查验证。

4、整理版图生成文件,总结、撰写并提交实验报告。

七、实验仪器设备

(1)工作站或微机终端一台

(2)局域网

(3)EDA仿真软件 1套

八、实验步骤

1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握Cadence EDA 仿真环境的调用。

2、根据设计指标要求,设计出如下图所示的运算放大器电路版图,过程中应注意设计规则。

3、对所绘制的版图进行DRC、ERC检查验证。

当版图绘制完成后,需要调用版图设计规则检查DRC来验证是否违反设计规则。

(1)点选Layout窗口上面的指令Verify→DRC

(2)出现DRC窗口

(3)按OK之后,会开始跑DRC,若有错误,CIW对话框会显示错误并且在Layout窗口也会有光标marker闪烁。

(4)可以点选Layout窗口上面的指令Verify→Makers→Explain,然后选择Layout窗口中闪动线条,即可知所犯的错误

(5)若要消除在Layout窗口闪烁的marker,点选Layout窗口上面的指令Verify→Markers→delete all,出现下面窗口,再点选OK即可。

2、根据与DRC验证类似的步骤进行版图的电气规则ERC检查。

注意:如整个版图由多个分图合成,则合并版图后,即使单个的分图均通过DRC/ERC验证,也必须再次进行DRC/ERC检查,往往拼接过程中会引入新的错误。

九、实验数据及结果分析:

1、通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真

环境的调用。达到了实验目的。

2、根据设计指标要求,设计出运算放大器模拟集成电路版图,并进行了DRC、ERC规则检查,最终指标满足要求。

十、实验结论:

通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路版图的设计,并进行了DRC、ERC规则检查,其难点是版图的布局布线和设计规则的理解。

十一、总结及心得体会:

通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路版图的设计与验证。综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握了基本的IC版图设计技巧。为今后从事科研、开发工作打下良好基础。

十二、对本实验过程及方法、手段的改进建议:

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

电子科技大学 汇编 实验报告

计算机专业类课程 实 验 报 告 课程名称:汇编语言程序设计 学院:计算机科学与工程 专业:计算机科学与技术 学生姓名:郭小明 学号:2011060100010 日期:2013年12月24日

电子科技大学 实验报告 实验一 学生姓名:郭小明学号:2011060100010 一、实验室名称:主楼A2-412 二、实验项目名称:汇编源程序的上机调试操作基础训练 三、实验原理: DEBUG 的基本调试命令;汇编数据传送和算术运算指令 MASM宏汇编开发环境使用调试方法 四、实验目的: 1. 掌握DEBUG 的基本命令及其功能 2. 学习数据传送和算术运算指令的用法 3.熟悉在PC机上编辑、汇编、连接、调试和运行汇编语言程序的过程五、实验内容: 编写程序计算以下表达式: Z=(5X+2Y-7)/2 设X、Y的值放在字节变量VARX、VARY中,结果存放在字节单元VARZ中。 1.编辑源程序,建立一个以后缀为.ASM的文件. 2.汇编源程序,检查程序有否错误,有错时回到编辑状态,修改程序中错误行。无错时继续第3步。 3.连接目标程序,产生可执行程序。

4.用DEBUG程序调试可执行程序,记录数据段的内容。 六、实验器材(设备、元器件): PC机,MASM软件平台。 七、实验数据及结果分析: 程序说明: 功能:本程序完成Z=(5X+2Y-7)/2这个等式的计算结果求取。其中X 与Y 是已知量,Z是待求量。 结构:首先定义数据段,两个DB变量VARX与VARY(已经初始化),以及结果存放在VARZ,初始化为?。然后定义堆栈段,然后书写代码段,代码段使用顺序程序设计本程序,重点使用MOV和IMUL以及XOR,IDIV完成程序设计。详细内容见程序注释。 程序清单:

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

电子科大电子技术实验报告

电子科技大学 电子技术实验报告 学生姓名:班级学号:考核成绩:实验地点:仿真指导教师:实验时间: 实验报告内容:1、实验名称、目的、原理及方案2、经过整理的实验数据、曲线3、对实验结果的分析、讨论以及得出的结论4、对指定问题的回答 实验报告要求:书写清楚、文字简洁、图表工整,并附原始记录,按时交任课老师评阅实验名称:负反馈放大电路的设计、测试与调试

一、实验目的 1、掌握负反馈电路的设计原理,各性能指标的测试原理。 2、加深理解负反馈对电路性能指标的影响。 3、掌握用正弦测试方法对负反馈放大器性能的测量。 二、实验原理 1、负反馈放大器 所谓的反馈放大器就是将放大器的输出信号送入一个称为反馈网络的附加电路后在放大器的输入端产生反馈信号,该反馈信号与放大器原来的输入信号共同控制放大器的输入,这样就构成了反馈放大器。单环的理想反馈模型如下图所示,它是由理想基本放大器和理想反馈网络再加一个求和环节构成。 反馈信号是放大器的输入减弱成为负反馈,反馈信号使放大器的输入增强成为正反馈。四种反馈类型分别为:电压取样电压求和负反馈,电压取样电流求和负反馈,电流取样电压求和负反馈,电流取样电流求和负反馈。 2、实验电路

实验电路如下图所示,可以判断其反馈类型累电压取样电压求和负反馈。 3.电压取样电压求和负反馈对放大器性能的影响 引入负反馈会使放大器的增益降低。负反馈虽然牺牲了放大器的放大倍数,但它改善了放大器的其他性能指标,对电压串联负反馈有以下指标的改善。 可以扩展闭环增益的通频带 放大电路中存在耦合电容和旁路电容以及有源器件内部的极间电容,使得放大器存在有效放大信号的上下限频率。负反馈能降低和提高,从而扩张通频带。 电压求和负反馈使输入电阻增大 当 v一定,电压求和负反馈使净输入电压减小,从而使输入电流 s

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

电子科大TCPIP第三次实验报告材料

实用文档 电子科技大学实 验 报 告 名:学生姓号:学TCP/IP协议名课程称: 教指导师:2016 年 11 日期:月 26 日 OSPF实验项目名称:协议的多区域特性分:告报评教师签字:

实用文档 一、实验原理 OSPF 协议(RFC 2328)是一个基于链路状态路由选择的内部网关协议:路由器仅 在网络拓扑变化时使用洪泛法(flooding)将自己的链路状态更新信息扩散到整个自治系统中。为了增强 OSPF 协议的可伸缩能力(Scalability),OSPF 协议引入了区域的概念来有效并及时的处理路由选择。OSPF 区域是包含在 AS 中的一些网络、主机和路由器的集合,自治系统中所有 OSPF 区域必须连接到一个主干区域(Area 0)上。 区域内的 OSPF 路由器(内部路由器,IR)使用洪泛法(flooding)传送本区域内的链路状态信息,区域边界的 OSPF 路由器(区域边界路由器,ABR)将本区域的信息汇总发给其他区域,自治系统边界的 OSPF 路由器(自治系统边界路由器,ASBR)将自治 系统外的路由(外部路由)发布在自治系统中。主干区域中的 OSPF 路由器也称为“主干路由器”(BR)。ABR 不能向 OSPF 残桩区域(Stub Area)通告外部路由。在多址网络中,为了避免不必要的链路状态洪泛,需要选举 1 个指定路由器(DR)和 1 个备份指定路由器(BDR)。OSPF 协议有 5 种类型的报文,它们被直接封装在 IP 分组中多播发送。 - 问候(Hello)报文:用来建立并维护 OSPF 邻接关系。在建立了邻接关系后, OSPF 路由器会定期发送 Hello 报文,来测试邻站的可达性。 - 数据库描述(DBD)报文:描述 OSPF 路由器的链路状态数据库的概要信息,即数据库中每一行的标题,它在两台相邻路由器彼此建立邻接关系时发送的。 - 链路状态请求(LSR)报文:由需要若干条特定路由信息的路由器发送出的,它的回答是 LSU 报文。新接入的路由器在收到 DBD 报文后,可以使用 LSR 报文请求关于某些路由的更多信息。 - 链路状态更新(LSU)报文:OSPF 的核心。OSPF 路由器使用 LSU 报文通告链路状态更新信息(即链路状态通告,LSA)每一个 LSU 报文可包含几个 LSA。, OSPF 协议的 LSA 有 5 种常用类型:路由器链路 LSA、网络链路 LSA、汇总链路到网络 LSA、汇总链路到 ASBR LSA 和外部链路 LSA。 5 种类型的 LSA这由不同类型的 OSPF 路由器产生,在特定类型的区域范围内扩散。 - 链路状态确认(LSAck)报文:用来确认每一个收到的 LSU 报文,使得 OSPF 协议的路由选择更加可靠。 二、实验目的 1、掌握 OSPF 协议中区域的类型、特征和作用 2、掌握 OSPF 路由器的类型、特征和作用 实用文档 3、掌握 OSPF LSA 分组的类型、特征和作用 4、理解 OSPF 区域类型、路由器类型和 OSPF LSA 分组类型间的相互关系

集成电路实验报告 (2)

实验 3 使用T-Spice 进行单元电路的瞬时分析3.1 实验目的及要求 1.进一步熟悉Tanner Pro 软件中T-Spice 软件的使用; 2.掌握使用T-Spice 分析简单电路的方法与操作流程,从而学会分析较为复杂的逻辑电路。 3.2 实验内容 3.2.1 反相器瞬时分析 (1)打开S-Edit,由于本实例中所使用的电路需要在反相器电路的基础上进行适当修改,为不影响后面的版图设计,同学们可以建立新文件EX3,将EX2 中反相器模块复制到EX3 文件中,再打开加入电源进行适当修改即可。反相器电路设计较为简单,在此只是教大家掌握复制模块的方法,希望大家掌握。 (2)复制inv 模块方法如下:先打开实验 2 中设计的“EX2.sdb”。进行复制前必须回到EX3 文件环境,方法为选择Module->Open 命令,打开Open Module 对话框,在Files下拉列表中选择EX3,单击OK 回到EX3 环境,才能进行复制模块操作。选择Module->Copy命令,打开Copy Module 对话框,在下拉列表中选择EX2 选项,在Select Module To Copy列表中选择inv 选项,单击OK 按钮即可。 (3)加入工作电源:inv 模块在电路设计模式下,选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,其中有很多电压源符号,选取直流电压源Source_v_dc 作为此电路的工作电压源。直流电压源Source_v_dc 符号有正(+)端与负(-)端。在inv 模块编辑窗口中直流电压源有两种接法可以直接连线接到原电路图的Vdd 与Gnd,也可另外复制两个Vdd 与Gnd(Ctrl+C 复制Ctrl+V 粘贴)接到电压源正负极,虽然两个全域符号Vdd 与Gnd 符号分开放置,但两个分离的Vdd 符号实际上是接到同一个节点,而两个Gnd 符号也是共同接地的。 (4)加入输入信号:选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,选取脉冲电压源Source_v_pulse 作为反相器输入信号,将脉冲电压源Source_v_pulse 符号的正端接输入端口in,负端接Gnd,编辑完成。为避免文件混杂且便于分辨可将原模块名称改为“inv_tran”,方便日后应用于其他的分析中。 (5)输出成SPICE 文件:此操作有两种方法前面已经介绍过了,可以直接单击S-Edit右上方的按钮,则会自动输出成SPICE 格式并打开T-Spice 程序。 (6)加载包含文件:由于不同的流程有不同的特性,在模拟之前必须要引入MOS 组件的模型文件,此模型文件内有包括电容电阻系数等数据,以供T-Spice 模拟之用。本实验是引用 1.25um 的CMOS 流程组件模型文件“m12_125.md”。鼠标移至主要电路前,选择Edit->Insert Command 命令或点击,打开T-Spice Command Tool 对话框,在左边列表框中选择Files选项。此时窗口将出现3个选项,单击Include Files按钮,点击下方的CreateCommand 按钮,在\tanner EDA\T-Spice Pro\models 下找到m12_125.md 文件,点击InsertCommand 添加即可。添加完成出现如下指令:.include “C:\ProgramFiles\Tanner EDA\T-Spice Pro\models\ml2_125.md”

电子科大-系统结构实验-解决数据冒险

实 验 报 告 课程名称:计算机系统结构实验学院:计算机科学与工程学院专业:计算机科学与技术 指导教师:好老师 学生姓名:爱学习的小学生 20实验成绩: 日期:2017年5月19日

电子科技大学计算机学院实验中心 电子科技大学 实验报告 一、实验项目名称:解决数据冒险 二、实验室名称:主楼A2-412 实验时间:2017年5月19日 三、实验目的 在给出的流水线代码基础上,增加内部前推数据通路、暂停流水线数据通路和关闭写使能信号的数据通路,解决普通的数据冒险和load数据冒险,通过完成本次实验,更好地理解和掌握解决数据冒险的原理,学以致用,增强编写程序的能力。 四、实验原理 (一)数据冒险的定义 由于流水线上指令重叠执行,改变了原来串行执行的读/写操作数顺序,使得后面依赖前面指令结果的指令得不到准备好的数据,这样的现象叫做数据冒险(数据相关)。 回顾数据冒险的程序例子 I1: add r1,r2,r3 I2: sub r4,r1,r5 I3: and r6,r7,r1 I4: or r8,r1,r9 I5: addi r10,r1,100

I1下面有3条指令不能从寄存器r1读出正确的数据。 (二)数据冒险的解决方案 1、暂停流水线 如上图所示,暂停流水线到最初的指令执行完毕,可以解决数据冒险,但是会涉及到两个问题,即“如何检测出数据冒险”和“如何暂停流水线”。 如何检测数据冒险 a.比较器; I1指令写目的寄存器rd,I2和I3的源操作数是寄存器rs1或rs2中的数据,I2、I3的rs1或rs2与I1的目的寄存器号rd相等时才有可能发生数据冒险。 b.操作码参与检测; 由于指令格式中源寄存器号rs2与立即数部分重叠,而立即数是不会出现冒险的,因此,指令操作码必须要参与检测(区分是寄存器操作数还是立即数)。 c.WREG信号也应参与检测(实际上,WREG也是从操作码中得出的);

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

电子科大实验报告撰写格式规范

实验报告撰写格式规范 一、一般格式和顺序 1、封面: (1)题目:应能概括整个论文最重要的内容,具体、切题、不能太笼统,但要引人注目;题名力求简短,严格控制在25字以内。 (2)导师:指导教师的署名一律以批准招生的为准,如有变动应正式提出申请并报研究生院备案,且只能填写指导教师一名。 (3)学生姓名和学号。 2、摘要:论文第一页为中文摘要,约500-800字左右。 内容应包括工作目的、研究方法、成果和结论,语言力求精炼。 3、目录:应是实验报告的提纲,也是实验报告组成部分的小标题,其内容从第一章开始。 4、主要符号表:如果实验报告中使用了大量的物理量符号、标志、缩略词、专门计量单位、自定义名词和术语等,应编写成注释说明汇集表。假如上述符号和缩略词使用数量不多,可以不设专门的汇集表,而在论文中出现时加以说明。 5、引言(第一章):在实验报告正文前,内容为:该研究工作的实用价值或理论意义;实验报告所要解决的问题。 6、正文:是实验报告的主体。按照仿真的步骤来逐一完成。 7、结论(最后一章):应明确、精炼、完整、准确,使人只要一看结论就能全面了解实验报告的意义、目的和工作内容。 8、工作分工:阐述每个成员的工作。 9、参考文献:如有,在这里列出。 二、论文的书写 1、语言表述 (1)论文应层次分明、数据可靠、文字简练、说明透彻、推理严谨,立论正确,避免使用文学性质的带感情色彩的非学术性词语。 (2)论文中如出现一个非通用性的新名词、新术语或新概念,需立即解释清楚。 2、层次和标题 (1)层次要清楚:标题要重点突出,简明扼要。 (2)层次代号的格式如下: 第一章××××(居中书写) 1.1 ×××× 1.1.1 ×××× 3、页眉和页码 页眉: (1)对摘要、目录等前置部分,页眉全用各部分内容的标题。

电子科技大学通信原理实验实验报告2

电子科技大学通信学院 最佳接收机(匹配滤波器) 实验报告 班级 学生 学号 教师任通菊

最佳接收机(匹配滤波器)实验 一、实验目的 1、运用MATLAB软件工具,仿真随机数字信号在经过高斯白噪声污染后最佳的恢复的方法。 2、熟悉匹配滤波器的工作原理。 3、研究相关解调的原理与过程。 4、理解高斯白噪声对系统的影响。 5、了解如何衡量接收机的性能及匹配滤波器参数设置方法。 二、实验原理 对于二进制数字信号,根据它们的时域表达式及波形可以直接得到相应的解调方法。在加性白高斯噪声的干扰下,这些解调方法是否是最佳的,这是我们要讨论的问题。 数字传输系统的传输对象是二进制信息。分析数字信号的接收过程可知,在接收端对波形的检测并不重要,重要的是在背景噪声下正确的判断所携带的信息是哪一种。因此,最有利于作出正确判断的接收一定是最佳接收。 从最佳接收的意义上来说,一个数字通信系统的接收设备可以看作一个判决装置,该装置由一个线性滤波器和一个判决电路构成,如图1所示。线性滤波器对接收信号进行相应的处理,输出某个物理量提供给判决电路,以便判决电路对接收信号中所包含的发送信息作出尽可能正确的判决,或者说作出错误尽可能小的判决。 图1 简化的接收设备 假设有这样一种滤波器,当不为零的信号通过它时,滤波器的输出能在某瞬间形成信号的峰值,而同时噪声受到抑制,也就是能在某瞬间得到最大的峰值信号功率与平均噪声功率之比。在相应的时刻去判决这种滤波器的输出,一定能得到最小的差错率。 匹配滤波器是一种在最大化信号的同时使噪声的影响最小的线性滤波器设计技术。注意:该滤波器并不保持输入信号波形,其目的在于使输入信号波形失 t输出信号值相对于均方根(输出)噪声值达到真并滤除噪声,使得在采样时刻 最大。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

电子科技大学实验报告撰写模板

电子科技大学 实验报告 ( 2018 - 2019 - 2 ) 学生姓名:学生学号:指导老师: 实验学时:1.5h 实验地点:基础实验大楼425 实验时间:2019.4.9 14:30—16:00 报告目录 一、实验课程名称:电路实验I 1.实验名称:BJT放大器设计与测试 二、实验目的: 1. 了解BJT管的基本放大特性。 2. 掌握BJT共射放大电路的分析与设计方法。 3. 掌握放大电路静态工作点的测试方法。 4. 掌握放大电路放大倍数(增益)的测试方法。 5. 掌握放大电路输入、输出电阻的测试方法。 6. 掌握放大电路幅频特性曲线的测试方法。 三、实验器材(设备、元器件): GDS1152A型数字示波器一台。 EE1641B1型函数发生器一台。

通用面包板一个。 1kΩ电阻;10mH电感;0.047μF电容若干。 四、实验原理:

3、测试方法 (1)静态工作点调整与测试 对直流电压的测量一般用数字万用表。测量静态工作点时测出晶体管各管脚对地的电压。 (2)放大倍数的测试 用晶体管毫伏表或者示波器直接测量输出、输入电压,由 Av=vo/vi 即可得到。(3)放大器输入电阻的测试

在放大器输入端口串入一个取样电阻R,用两次电压法测量放大器的输入电阻Ri。 (4)放大器输出电阻的测试 在放大器输出端口选择一个合适的负载电阻RL,用两次电压法分别测量空载与接上负载时的输出电压,计算输出电阻Ro。 (5)放大器频率特性的测试 用点频法测试法测量放大器的频率特性,并求出带宽。 五、实验内容: (1)静态工作点的测试 (2)电压增益测试 (3)输入电阻测试 (4)输出电阻测试 (5)幅频特性测试 六、实验数据及结果分析: 1、静态工作点调整与测试 令VCC=+12V,用万用表测量VE、VB、VC,计算VBE、IEQ、VCE,数据记入表格中。 2、放大倍数的测试 用函数发生器输出一个正弦波信号作为放大器的输入信号,设置信号频率 f =1kHz,(有效值)Ui=5mV,测量U0 ,计算放大器的电压放大倍数(增益)Av。数据填入表中,定量描绘输出波形图。

电子科技大学微嵌实验最新版

电子科技大学微嵌实验最新版

电子科技大学 实验报告 修正了M00和旧版答案的错误,代码使用Keil uVision5 均已调试通过。课程名称微处理器系统结构与嵌入式系统设计_____________________ 实验名称ARM基础编程实验_____________________________________ 任课教师________ 实验教师 ________ 姓名 ______ 学号__________ 实验地点分组号时间年月日、实验目的 1.熟悉并掌握常用ARM匚编指令 2.熟悉并掌握C+汇编混合编程技术 3.熟练使用ARM软件开发调试工具Keil _、实验内容 1.学习使用Keil开发工具 2.使用ARM匚编语言,编程头现1+2+ ...... +N累加运算功冃匕 3.使用C调用汇编函数,实现字符串拷贝功能 4.使用汇编调用C函数,实现求和运算功能 5.使用ARM匚编语言,实现冒泡排序算法(选做) 三、实验步骤

1.实验1.1 :运行Keil ,建立工程文件,单步运行调试演示示例程 序,深刻理解每一条指令,观察寄存器,内存空间的变化。 2.实验1.2 :用汇编语言实现1+2+...+N的累加: a)建立新工程,加入实验1.2文件夹中的sum.s。 b)用汇编补充算法核心部分,代码参考流程图如下图 1.1所示。 c)使用单步调试,仔细观察过程中关键寄存器值的变化。 R0暂存累加和 图 3.实验1.3 : C调用汇编实现字符串拷贝功能: a)建立新工程,加入实验1.3文件夹中的ma in .c和 testfile.s(同一个工程下添加两个文件一起编 译)。 b)补充完成源代码中缺失的部分,分别实现 1.拷贝源字符串的一个字节到R2中; 2.将拷贝的字节复制到目标空间。 c)运行Debug进行调试。 4.实验1.4 :汇编调用C实现求和1+2+...+10 : a)建立新工程,加入实验1.4文件夹中的sum.c和 testfile.s(同一个工程下添加两个文件一起编 译)。 b)补充完成源代 码中缺失的部分,通过调用c函数 g()实现1+2+3+glovb1,结果存在R8中。 c)运行Debug进行调试

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

实验报告芯片解剖实验

电子科技大学成都学院(微电子技术系) 实验报告书 课程名称:芯片解剖实验 学号: 姓名: 教师: 年6月28日

实验一去塑胶芯片的封装 实验时间:同组人员: 一、实验目的 1.了解集成电路封装知识,集成电路封装类型。 2.了解集成电路工艺流程。 3.掌握化学去封装的方法。 二、实验仪器设备 1:烧杯,镊子,电炉。 2:发烟硝酸,弄硫酸,芯片。 3:超纯水等其他设备。 三、实验原理和内容 实验原理: 1..传统封装:塑料封装、陶瓷封装 (1)塑料封装(环氧树脂聚合物) 双列直插DIP、单列直插SIP、双列表面安装式封装SOP、四边形扁平封装QFP 具有J型管脚的塑料电极芯片载体PLCC、小外形J引线塑料封装SOJ (2)陶瓷封装 具有气密性好,高可靠性或者大功率 A.耐熔陶瓷(三氧化二铝和适当玻璃浆料):针栅阵列PGA、陶瓷扁平封装FPG B.薄层陶瓷:无引线陶瓷封装LCCC 2..集成电路工艺 (1)标准双极性工艺 (2)CMOS工艺 (3)BiCMOS工艺 3.去封装 1.陶瓷封装 一般用刀片划开。 2. 塑料封装 化学方法腐蚀,沸煮。 (1)发烟硝酸煮(小火)20~30分钟 (2)浓硫酸沸煮30~50分钟 实验内容: 去塑胶芯片的封装 四、实验步骤 1.打开抽风柜电源,打开抽风柜。 2.将要去封装的芯片(去掉引脚)放入有柄石英烧杯中。 3.带上塑胶手套,在药品台上去浓硝酸。向石英烧杯中注入适量浓硝酸。(操作

时一定注意安全) 4.将石英烧杯放到电炉上加热,记录加热时间。(注意:火不要太大) 5.观察烧杯中的变化,并做好记录。 6.取出去封装的芯片并清洗芯片,在显微镜下观察腐蚀效果。 7.等完成腐蚀后,对废液进行处理。 五、实验数据 1:开始放入芯片,煮大约2分钟,发烟硝酸即与塑胶封转起反应, 此时溶液颜色开始变黑。 2:继续煮芯片,发现塑胶封装开始大量溶解,溶液颜色变浑浊。 3:大约二十五分钟,芯片塑胶部分已经基本去除。 4:取下烧杯,看到闪亮的芯片伴有反光,此时芯片塑胶已经基本去除。 六、结果及分析 1:加热芯片前要事先用钳子把芯片的金属引脚去除,因为此时如果不去除,它会与酸反应,消耗酸液。 2:在芯片去塑胶封装的时候,加热一定要小火加热,因为发烟盐酸是易挥发物质,如果采用大火加热,其中的酸累物质变会分解挥发,引起容易浓度变低,进而可能照成芯片去封装不完全,或者去封装速度较慢的情况。 3:通过实验,了解了去塑胶封装的基本方法,和去封装的一般步骤。

电子科大射频实验报告_

电子科技大学通信射频电路实验报告 学生姓名: 学号: 指导教师:

实验一选频回路 一、实验内容: 1.测试发放的滤波器实验板的通带。记录在不同频率的输入下输出信号的 幅度,并绘出幅频响应曲线。 2.设计带宽为5MHz,中心频率为39MHz,特征阻抗为50欧姆的5阶带 通滤波器。 3.在ADS软件上对设计出的带通滤波器进行仿真。 二、实验结果: (一)低通滤波器数据记录及幅频响应曲线 低通滤波器数据记录表 频率/MHz 0 0.5 1 1.5 2 2.5 3 3.5 4 幅度/mV 1020 1030 1060 1110 1120 1060 944 840 768 频率/MHz 4.5 5 5.2 5.4 5.6 5.8 6 6.2 6.4 幅度/mV 712 672 656 640 624 600 580 556 528 频率/MHz 6.6 6.8 7 7.2 7.4 7.6 7.8 8 8.2 幅度/mV 500 468 444 412 388 356 332 308 280 频率/MHz 8.4 8.6 8.8 9 9.5 10 10.5 11 11.5 幅度/mV 256 236 216 196 156 116 88 66 49.2 频率/MHz 12 12.5 13 13.5 14 14.5 15 15.5 16 幅度/mV 37.2 28.2 21.8 17 13.4 10.8 8.6 7.4 6 频率/MHz 16.5 17 17.5 18 18.5 19 19.5 20 幅度/mV 5.2 2.4 2.2 1.4 1.2 1.2 1.2 1.8

相关文档
最新文档