北邮数字电路实验自动售货机

北邮数字电路实验自动售货机
北邮数字电路实验自动售货机

数字电路与逻辑设计实验

简易自动售货机

学院:电子工程学院

班号:

学号:

姓名:

班内序号:

辅导老师:

时间:

一、设计课题的任务要求

1、实验目的

1)进一步熟练掌握VHDL语言和QuartusⅡ软件的使用;

2) 理解状态机的工作原理和设计方法;

2、实验内容

设计一个简易的自动售货机,能够完成钱数处理、找零、显示、退币等功能。

3、基本要求

1)用2 个数码管(disp5,disp4)显示钱数,以元为单位。用3 个按键(btn0,btn1,btn2)分别表示一元、五元、十元,每按一次按键,增加一次相应的钱数,上限99元。

2)再用3 个按键(btn3,btn4,btn5)分别对应3 种商品,其中,商品甲售价3 元、商品乙售价8 元、商品丙售价12 元;

3)买东西时,先输入钱币,再按对应的商品键。每按一次商品键,钱数要相应地减少,同时有声光(蜂鸣器或发光二极管)提示购买成功。剩余钱数大于3 元可继续按商品键再次购买;当剩余钱数少于3 元时,表示钱数的数码管disp5,disp4 显示为零,同时用数码管disp0 显示退出的钱数。

4)买东西时,按下商品键,若输入的钱数少于商品的价格,表示钱数的数码管disp5,disp4 显示为零,同时用数码管(disp1、disp0)显示退出的钱数,并用蜂鸣器或发光二极管闪烁表示购买失败。

5)按下商品键时,用数码管disp2 表示当前购买的商品,1 代表商品甲,2 代表商品乙,3 代表商品丙。

6)用btn7 做为退出功能键,退出键可以随时按下,按下后,数码管(disp5,disp4)显示为零,同时数码管(disp1、disp0)显示退出的钱数,表示结束购买,钱款全部退出。

4、提高要求:

1)用点阵设计显示投币动画、出货动画,购买成功/失败动画;2)允许随时输入钱币,购买时,钱款不足有声光报警并等待追加钱币或选择别的商品;

3)商品数量管理,有缺货提示;

4)用点阵动态显示商品名称和库存数量等。

5)自拟其它功能。

二、设计思路及原理框图

1、原始设计思路及框图

(a)实验设计思路

用户可投入三种面值的钱,选择相应商品进行购买,若钱数足够,二极管亮,商品调出;若钱数不够,蜂鸣器响,商品不会调动,此时可继续投币,直到钱数够了商品才会调出,期间若钱数小于等于3元,系统会退回钱币,一次购买结束。若任何一阶段用户点击退出,系统也会退回钱币,一次购买结束。

具体思路简述:

投入钱(动画1),计数,按键购买。1、钱不够(动画1),蜂鸣器,可继续投钱 2、钱够(动画2),二极管发光,减数值。每次减数值进行计算,<=3时,退钱(动画3),显示钱数。>3时,维持原状态。 按7退出,显示退钱数(动画4),其他显示为0,结束。

(b)总体框图

图1、总体设计框图

如图:1:Disp5 disp4 (数码管组1) 投入的钱数,上限99 2:Disp1 disp0 (数码管组2) 退回的钱数,上限99 3: Btn2 btn1 btn0 (按钮)钱数 十元 五元 一元

4:Btn5 btn4 btn3 (按钮)甲(3元)乙(8元)丙(12元)三种商品 5:蜂鸣器

6:发光二极管

7:Btn7 (按钮)退出 8:点阵图案

1

2

3

4

7

5

6

8

(c)模块划分(简化,未标蜂鸣器,二极管)

如上图所示,主要分为分频模块,控制模块,点阵显示模块和数码显示模块。

1)分频模块:输入50Mhz信号后分别分为clk_10(10hz),clk_50k(50khz)。其

中,clk_10用来控制电路基本运算,clk_50k用于点阵及数码管的刷新显示。

2)控制模块:控制其他各个模块,使功能能得到实现。

3)点阵显示模块:对应相应的用户操作显示相应点阵动画。

4)数码管显示模块:对输入结果译码后在数码管上进行显示。

(d)控制流程图(简化,未标蜂鸣器、二极管)

(e)状态转移图

Out_A2_L:出货品,动画2 Add_A1_S:投入钱,动画1 Back_A3:退钱,动画3 Exit:退出

钱不足钱足够

退出

退出

退出

钱不足

2、原始基本思路的补充或改进

(a)为实现提高要求,加上提取货物这一模块,这样就会多一个状态,系统变为5个状态。此时显示货物数目也需要数码管,因而用disp3、disp2来显示数目。这样原本显示商品种类的数码管会被占用,此时考虑将这两个数码管的显示数值设成4项循环显示。

(b)同时循环显示甲乙丙三种货物数目需要加一个循环模块。(c)为了使购买过程操作错误或其他情况导致退钱后,用户可以继续购买,设定按btn7才完全退出。即第一次错误或退出会到退钱的状态,再按一次btn7才是完全退出。一次错误或退钱后再加入货币还可继续与原货币累加并继续购买。

最后所得各个模块连接图如下

三、仿真波形及波形分析

1、售货机主程序模块仿真分析

说明:clk:时钟(上升沿有效)。e:退出。insert:进货。

a1:投入1元。a2:投入5元。a3:投入10元。

b1:购买甲(3元)。b2:购买乙(8元)。b3:购买丙(12元)。

c1,c2,c3分别表示甲、乙、丙三种商品剩余数量。(disp3与2显示)

change:剩余退回的钱数(disp1与0的显示)。

money:售货机中已投入钱数(disp5与4显示)。

goodtype:购买商品的种类。

状态:s1:表示投币成功。

s2:表示购买成功。

s3:表示退钱。

s4:表示退出。

s5:表示缺货。

(a)运行开始,先进货。为迎合中国大众的消费心理需求,设定的是价格3元、8元、12元的货物每次分别提货数量30、20、10。第一次进货仿真如下,此时货源充足,s5=0。

注:由于加了防抖电路,所以在后一个时钟上升沿到来时,货物数才增加。具体语句如下页所示。

(b)按键进行投币和购买,每次投币,money数会相应增加(状态s1);每次购买货物,若购买成功,则money减少、相应货物数减小、、goodtype显示商品种类,状态为s2。当选择商品价格大于投入钱币时,系统退钱,money变为0,change变为原money数,状态变为s3。

(c)最大限额为99的限定。当投入钱数会大于99时,系统不接受该投币。

(d)剩余钱数小于3时,系统退钱,money为0,change为原来money 值。s2成功的同时到s3。

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

9、北邮电子电路模拟题及答案电子教案

9、北邮2017年电子电路模拟题及答案

北京邮电大学电子电路(802)模拟试题 模拟部份 一:判断题(每小题2分,共10分) (1)在N型半导体中如果掺入足够量的三价元素,可将其改型为P型半导体。() (2)因为N型半导体的多子是自由电子,所以它带负电。() (3)PN结在无光照、无外加电压时,结电流为零。() (4)处于放大状态的晶体管,集电极电流是多子漂移运动形成的。()(5)在正弦波振荡电路中,若电路结构和参数已经给定,并可以稳定的产生正弦振荡,则其振荡频率是唯一的。() 二:选择填空题(每小题2分,共20分) (1)对于放大电路,所谓开环是指。 A.无信号源 B.无反馈通路 C.无电源 D.无负载 而所谓闭环是指。 A.考虑信号源内阻 B.存在反馈通路 C.接入电源 D.接入负载 (2)在输入量不变的情况下,若引入反馈后,则说明引入的反馈是负反馈。 A.输入电阻增大 B.输出量增大 C.净输入量增大 D.净输入量减小 (3)直流负反馈是指。 A.直接耦合放大电路中所引入的负反馈

B.只有放大直流信号时才有的负反馈 流 C.在直流通路中的负反馈 (4)交负反馈是指。 A.阻容耦合放大电路中所引入的负反馈 B.只有放大交流信号时才有的负反馈 C.在交流通路中的负反馈 (5)为了避免50Hz电网电压的干扰进入放大器,应选用滤波电路。(6)已知输入信号的频率为10kHz~12kHz,为了防止干扰信号的混入,应选用滤波电路。 (7)为了获得输入电压中的低频信号,应选用滤波电路。 A带阻 B带通 C低通 (8) 理想运算放大器的两个输入端的输入电流等于零,其原因是()。 A 同相端和反相端的输入电流相等而相位相反 B 运放的差模输入电阻接近无穷大 C 运放的开环电压放大倍数接近无穷大 (9)在运算放大器电路中,引入深度负反馈的目的之一是使运放 ( )。 A 工作在线性区,降低稳定性 B 工作在非线性区,提高稳定性 C 工作在线性区,提高稳定性

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

10、北邮2017年电子电路冲刺题及答案

北京邮电大学电子电路(802)模拟试题 模拟部份 一、填空题(共15分,每空0.5分) 1.电子技术分为模拟电子技术和数字电子技术两大部分,其中研究在平滑、连续变化的电 压或电流信号下工作的电子电路及其技术,称为【1】电子技术。 2.PN 结反向偏置时,PN 结的内电场【2】。PN 具有【3】特性。 3.硅二极管导通后,其管压降是恒定的,且不随电流而改变,典型值为【4】伏;其门坎电压V th 约为【5】伏。 4.为了保证三极管工作在放大区,要求: ①发射结【6】偏置,集电结【7】偏置。 ②对于NPN型三极管,应使VBC 【8】。 5.放大器级间耦合方式主要有阻容(RC )耦合、直接耦合和【9】耦合三大类。 6.在三极管组成的三种不同组态的放大电路中,共射和共基组态有电压放大作用,【10】组态有电流放大作用,【11】组态有倒相作用;【12】组态带负载能力强,【13】组态向信号源索取的电流小,【14】组态的频率响应好。 7.场效应管是【15】器件,只依靠【16】导电。 8.石英晶体振荡器是【17】的特殊形式,因而振荡频率具有很高的稳定性。 9.将交流电变换成脉动直流电的电路称为整流电路;半波整流电路输出的直流电压平均值等于输入的交流电压(即变压器副边电压)有效值的【18】倍;全波整流电路输出的直流电压平均值等于输入的交流电压(即变压器副边电压)有效值的【19】倍。 10.差动放大电路中的长尾电阻Re 或恒流管的作用是引人一个【20】反馈。(1分) 11.为了分别达到下列要求,应引人何种类型的反馈: ①降低电路对信号源索取的电流:【21】。 ②当环境温度变化或换用不同值的三极管时,要求放大电路的静态工作点保持稳定:【22】。 ③稳定输出电流:【23】。 12.在构成电压比较器时集成运放工作在开环或【24】状态。 13.某负反馈放大电路的开环放大倍数A=100000,反馈系数F=0.01,则闭环放大倍数 【25】。 14.差分式放大电路能放大直流和交流信号,它对【26】具有放大能力,它对【27】具有抑 制能力。 15.乙类功放的主要优点是【28】,但出现交越失真,克服交越失真的方法是【29】。 二、单项选择题(每小题1分,共10分) 1.在本征半导体中掺入( )构成P 型半导体。 β≈

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电子电路测量实验(北邮)

北京邮电大学 电子电路综合设计实验 实验报告 课题名称:函数信号发生器 院系:电子工程学院

摘要 本实验的目的在于使用集成运算放大器设计一个方波—三角波—正弦波发生器。其中,由施密特触发器组成的多谐振荡器产生方波,再经积分运算电路产生三角波。最后,经过差分放大器,利用晶体管的非线性特性将三角波变换为正弦波。并要求波形达到一定的幅值、频率等要求。 关键词 函数信号发生器方波三角波正弦波集成运放 正文 一、设计任务要求 1基本要求 (1)信号输出频率在1~10kHz范围内连续可调,无明显失真。 (2)方波信号输出电压U opp=12V(误差≤20%),上升、下降沿小于10us,占空比范围为30%~70%。 (3)三角波信号输出电压U opp=8V(误差≤20%)。 (4)正弦波信号输出电压U opp≥1V 2提高要求 (1)将输出方波改为占空比可调的矩形波,占空比可挑范围为30%‐70%;

(2)三种波形的输出峰峰值U opp均可在1V-10V 范围内连续可调。 3+ 二、实验原理及设计过程 1总体思路 函数信号发生器的构成方法多样。本实验来看,可以先产生方波,由方波积分得到三角波,在由三角波经过整形得到正弦波;也可以先产生正弦波,将正弦波进行整形得到方波,在通过积分器产生三角波。在器件使用上,可以是分立元件电路,也可以采用集成电路。 根据提供的器材和资料,选择设计由集成运算放大器和晶体管放大器构成的方波—三角波—正弦波发生电路(如下图二)。 2原理结构框图 三、Multisim仿真过程及波形输出 1元器件选择

(1)方波—三角波发生电路 (最终电路见附录) ●芯片选择:对比uA741CP与LM318N的相关参数。选择转换速度较快 的LM318N作为矩形波发生电路的芯片,uA741CP作为三角波发生电路的芯片。 ●稳压管选择:根据方波U opp =12V,方波幅度限制在-(U Z+U D)~+(U Z+U D), 故选择稳压值为U Z =6V的稳压管。查阅资料,在Multisim中选择 1N4734A单稳压管,放置为稳压对管。 ●电阻电容选择: 根据方波和三角波输出峰峰值的要求(12V、8V),R f和R1的取值应 满足R f:R1=3:2。为使电路易起振,在这里取R f=30kΩ,R1=20kΩ。 根据直流平衡电阻的计算原理,得R3=(30||20)kΩ=12kΩ。 根据方波输出幅度选择限流电阻R o=2kΩ。 同时在三角波电路中,由公式R2C=αR f /4f R1 计算得R2=5kΩ,C=0.01 μF。 根据直流平衡电阻的计算方法,得R4=R2 =5kΩ。 为达到频率的可调范围,选择R p1=100kΩ的滑动变阻器。

北京邮电大学《电子电路》真题2009年

北京邮电大学《电子电路》真题2009年 (总分:61.00,做题时间:90分钟) 一、{{B}}选择题{{/B}}(总题数:18,分数:40.00) 1.(473)10的BCD码是______。 ? A.010********* ? B.111011010 ? C.110001110011 ? D.010********* (分数:2.00) A. √ B. C. D. 解析: 2.触发器的时钟输入的作用是______。 ? A.复位 ? B.使输出状态取决于输入控制信号 ? C.置位 ? D.改变输出状态 (分数:2.00) A. B. √ C. D. 解析: 3.一个8位移位寄存器的移位脉冲的频率是1MHz,将8位二进制数并行地移入这个移位寄存器需要______。 ? A.经过8个触发器的传输延迟时间 ? B.8μs ? C.经过1个触发器的传输延迟时间 ? D.1μs (分数:2.00) A. B. C. D. √ 解析: 4.在时序电路的状态转换表中,若状态数N=3,则状态变量数最少为______。

? A.16 ? B.4 ? C.8 ? D.2 (分数:2.00) A. B. C. D. √ 解析: 5.已知,其中+ABCD=0,化简后的逻辑函数为______。A. B. C. D (分数:2.00) A. B. C. D. √ 解析: 6.如图所示正脉冲的脉冲宽度、脉冲重复频率、脉冲占空比为______。 ? A.t p、1/T、t p/T ? B.t p、1/T、t p/(T-t p) ? C.t p、1/T、(T-t p)/r ? D.t p、T、t p/(T-t p) (分数:2.00) A. √ B. C. D. 解析: 7.若用万用表测试图所示晶体管开关电路,当晶体管截止时,测得的基极和集电极电位应是______。 ? A.u BE=0.6V,u CE=1.5V ? B.u BE=0V,u cE=2.5V ? C.u BE=0.7V,u CE=0.3V ? D.u BE≤0V,u CE=3.2V (分数:2.00) A. B. √

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx)学号: 2xxx 实验时间: xxxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北邮数字电路综合实验报告——交通灯控制器的VHDL实现

数字电路综合实验报告 班级: 姓名: 班内序号: 学号: 日期:

目录 一、实验摘要 (3) 二、实验任务 (3) 1.任务要求 (3) 2.任务解析 (3) 三、实验设计思路 (4) 1.状态转移图 (4) 2.流程图 (5) 3.模块确定 (5) 4.系统框图 (7) 四、程序代码 (7) ⒈主程序 (7) ⒉分频模块 (9) ⒊防抖模块 (10) ⒋交通灯控制模块 (11) ⒌数字译码模块 (14) 五、实验结果 (15) 1.仿真结果 (15) 2.实物结果 (17) 六、所遇问题分析 (17) 七、实验总结 (18)

交通灯控制器的VHDL实现 一、实验摘要 随着交通情况的日益复杂,交通灯在生活中所处的位置也越来越高。本实验就是基于VHDL语言编程实现了十字路口的交通灯控制器。对于交通等控制器的设计是分模块自顶向下的设计思想,软硬件结合来实现本设计。 关键字:交通灯、VHDL、控制器 二、实验任务 1.任务要求 1)南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5 秒和25秒; 2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制 器恢复原来状态,继续正常运行; 3)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间; 2.任务解析 东西(A车道)和南北(B车道)方向各有一组绿、黄、红灯用于指挥交通(如图1),绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒。 图1 十字路口交通灯模型

因此,可以设计如下四个状态,其关系为: 状态 亮灯情况 车辆行驶状况 持续时 间(秒)下一状态A车道B车道 S0 红亮红亮紧急状况,A/B车道均禁止通行~ S1 S1 绿亮红亮A车道通行,B车道禁止通行20 S2 S2 黄亮红亮A车道缓行,B车道禁止通行 5 S3 S3 红亮绿亮A车道禁止通行,B车道通行20 S4 S4 红亮黄亮A车道禁止通行,B车道缓行 5 S1 三、实验设计思路 1.状态转移图 图2 状态转移图

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

北邮802电子电路考试大纲

2011年硕士研究生考试大纲802电子电路模拟部分 一、考试要求 要求学生系统地掌握模拟电子技术的基本概念要求学生系统地掌握模拟电子技术的基本概念、、基本电路的工作原理 和基本分析方法和基本分析方法,,并能灵活应用于实际并能灵活应用于实际,,具有较强的分析问题与解决问题的能力。 二、考试内容 1.1.了解 了解PN 结及其特性;掌握常用二极管、双极型晶体管及场效应管的特性和主要参数。 2.2.掌握双极型晶体管组成的三种基本组态电路的特点和基本分析方掌握双极型晶体管组成的三种基本组态电路的特点和基本分析方法;了解场效应管放大电路。 3.3.了解多级放大电路的常用耦合方式了解多级放大电路的常用耦合方式了解多级放大电路的常用耦合方式,,掌握多级放大电路的特点及分析方法。 4.4.掌握集成运放的基本组成掌握集成运放的基本组成掌握集成运放的基本组成、、工作特点工作特点、、内部典型电路及主要技术指标。 5.5.了解频率响应的基本概念及波特图了解频率响应的基本概念及波特图了解频率响应的基本概念及波特图;;掌握双极型晶体管及场效应管的高频等效模型;了解放大电路的频率响应。 6.6.掌握反馈的基本概念掌握反馈的基本概念掌握反馈的基本概念、、判断方法判断方法、、负反馈对放大电路性能的影响及深度负反馈放大电路的分析方法;了解负反馈放大电路的稳定性问题。 7.7.掌握运放工作在线性区的特点及由集成运放组成的基本运算电路掌握运放工作在线性区的特点及由集成运放组成的基本运算电路掌握运放工作在线性区的特点及由集成运放组成的基本运算电路; ;

8.8.了解有源滤波电路及其它放大电路。 了解有源滤波电路及其它放大电路。9.9.掌握正弦波振荡电路的原理及组成掌握正弦波振荡电路的原理及组成掌握正弦波振荡电路的原理及组成;;掌握电压比较器掌握电压比较器、 、三角波(矩形波)发生器的电路组成和工作原理。 10.10.了解功率放大电路的特点及集成功率放大电路的应用,掌握互补了解功率放大电路的特点及集成功率放大电路的应用,掌握互补功率放大电路。 11.11.了解直流稳压电源的原理,掌握整流、滤波及稳压电路的组成和了解直流稳压电源的原理,掌握整流、滤波及稳压电路的组成和工作原理。 三、试卷结构 考试时间:考试时间:1.51.5小时;满分:小时;满分:75 75分题目类型:填空、选择、简答、计算(包括简单设计)及读图题。 参考书参考书::《模拟电子技术基础》高等教育出版社(第四版)华成英华成英、、童诗白主编 数字部分 一、考试要求 掌握数字逻辑电路的基本概念和中小规模逻辑器件的工作原理掌握数字逻辑电路的基本概念和中小规模逻辑器件的工作原理,,掌握 数字逻辑电路的分析和设计方法数字逻辑电路的分析和设计方法。。具备分析常用数字电路逻辑功能的 能力,能使用中小规模器件和可编程逻辑器件进行逻辑设计的能力能力,能使用中小规模器件和可编程逻辑器件进行逻辑设计的能力。 。二、考试内容 1、数制和编码及逻辑代数基础 1)各种数制之间的互相转换及BCD 编码 2)逻辑代数的基本定理

北邮数电综合实验电子沙漏的设计与实现

北京邮电大学数电综合实验报告 实验名称:电子沙漏的设计与实现 学院:信息与通信工程学院 姓名: 班级: 学号: 班内序号:

一、实验设计的基本要求 沙漏是一种古老的计时工具,也是一种玩具。电子沙漏用发光二极管表示沙粒,模拟沙漏的运动过程。电子沙漏会像真正的沙漏一样,上部的沙粒(点亮的发光二极管)一粒一粒往下掉,下部的沙粒一粒一粒堆起来。 1、采用 8*8 双色点阵显示电子沙漏的开机界面,如图 2 所示。其中红色 LED 代表沙漏的上半部分沙粒 VD0~VD15,绿色 LED 代表沙漏的下半部分 VD0'~VD15'。 2、用拨码开关 SW1 模拟重力感应器。当 SW1 为低电平时,沙粒从VD0~VD15 向 VD0'~VD15'移动;当 SW1 为高电平时,沙粒从 VD0'~VD15'向 VD0~VD15 移动。 3、按键 BTN0 作为计时启动停止按键,启动后沙粒即可按照 SW1 设定的方向移动, 以 SW1 为低电平时为例,LED 移动的顺序与对应关

系如图 3 的1~16所示(若 SW1 为高电平,则点阵显示移动顺序为 16~1)。每颗沙粒的移动时间为 1 秒,当移动到图 3 的16时,若 SW1 仍为低电平,则保持沙粒不动,但计时继续,直到 SW1 的电平发生变化或者 BTN0 计时停止。

4、设计实现一个 60 秒计时器,当按键 BTN0 启动时开始工作,用于在沙粒移动过程中进行计时校准,并用数码管 DISP0~DISP1 显示计时结果。 提高要求: 1、可以调节控制电子沙漏的流动速度。? 2、用多种方式呈现电子沙漏界面。? 3、自行设定沙粒的移动路径,显示每颗沙粒的移动过程。 4、外接重力感应器,实现真实的电子沙漏功能。? 5、自拟其它功能。 二、系统设计 1、设计思路 实验比较复杂,故采用分模块设计的思想,将模块分为了分频模块、控制模块、数码管显示模块、8*8点阵显示模块。 由于本实验需要用BTN0按键来控制时间和沙漏的开始运行以及时间的暂停功能,故需要检测输入,此时就要用到防抖模块,防止在按下按键时有多个上升沿产生导致开关并不能完美的发挥作用。 控制模块是用来实现具体的操作的,通过对按下BTN0按键的次数统计,将其分为奇数与偶数两种情况,在奇数时使功能正常运行,在统计为偶数时使时间暂停,以此来实现对此系统的控制。

北邮电子电路实验 函数信号发生器 实验报告

北京邮电大学 电子电路综合设计实验实验报告 实验题目:函数信号发生器 院系:信息与通信工程学院 班级: 姓名: 学号: 班内序号:

一、课题名称: 函数信号发生器的设计 二、摘要: 方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。 关键词:方波三角波正弦波频率可调幅度 三、设计任务要求: 1.基本要求: 设计制作一个方波-三角波-正弦波信号发生器,供电电源为±12V。 1)输出频率能在1-10KHZ范围内连续可调; 2)方波输出电压Uopp=12V(误差<20%),上升、下降沿小于10us; 3)三角波输出信号电压Uopp=8V(误差<20%); 4)正弦波信号输出电压Uopp≥1V,无明显失真。 2.提高要求: 1)正弦波、三角波和方波的输出信号的峰峰值Uopp均在1~10V范围内连续可调; 2)将输出方波改为占空比可调的矩形波,占空比可调范围30%--70% 四、设计思路 1. 结构框图 实验设计函数发生器实现方波、三角波和正弦波的输出,其可采用电路图有多种。此次 实验采用迟滞比较器生成方波,RC积分器生成三角波,差分放大器生成正弦波。除保证良 好波形输出外,还须实现频率、幅度、占空比的调节,即须在基本电路基础上进行改良。 由比较器与积分器组成的方波三角波发生器,比较器输出的方波信号经积分器生成三角

波,再经由差分放大器生成正弦波信号。其中方波三角波生成电路为基本电路,添加电位器调节使其频率幅度改变;正弦波生成电路采用差分放大器,由于差分放大电路具有工作点稳定、输入阻抗高、抗干扰能力较强等优点,特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。 2.系统的组成框图 五、分块电路与总体电路的设计 1.方波—三角波产生电路

相关文档
最新文档