中南大学大规模集成电路试卷及答案合集

中南大学大规模集成电路试卷及答案合集
中南大学大规模集成电路试卷及答案合集

---○---○

---

学 院

专业班级

学 号

姓 名

………… 评卷密封线 ……………… 密封线内不要答题,

密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 …………

中南大学考试试卷 时间110分钟

题 号

一 二 三 合 计

得 分

评卷人

2013 ~2014 学年一学期大规模集成电路设计课程试题 32

学时,开卷,总分100分,占总评成绩70 %

一、填空题(本题40分,每个空格1分)

1. 所谓集成电路,是指采用 ,把一个电路中所

需的二极管、 、电阻、电容和电感等元件连同它们之间的电气连线在一块或几块很小的 或介质基片上一同制作出来,形成完整电路,然后 在一个管壳内,成为具有特定电路功能的微型结构。

2. 请写出以下与集成电路相关的专业术语缩写的英文全称:

ASIC : ASSP : LSI : 3. 同时减小 、 与 ,可在保持漏源间电流不变的前提下减小器件面积,提高电路集成度。因此,缩短MOSFET 尺寸是VLSI 发展的趋势。

4. 大规模集成电路的设计流程包括:需求分析、 设计、体系结构设计、功能设计、 设计、可测性设计、 设计等。

5. 需求规格详细描述系统顾客或用户所关心的内容,包括 及必须满足的 。系统规格定义系统边界及系统与环境相互作用的信息,在这个规格中,系统以 的方式体现出来。

6. 根据硬件化的目的(高性能化、小型化、低功耗化、降低成本、知识产权保护等)、系统规模/性能、 、 、 等确定实现方法。

7. 体系结构设计的三要素为: 、 、 。 8. 高位综合是指从 描述自动生成 描述的过程。与人工设计相比,高位综合不仅可以尽可能地缩短 ,而且可以生成在面积、性能、功耗等方面表现出色的电路。

9. 逻辑综合就是将 变换为 ,根据 或 进行最优化,并进行特定工艺单元库 的过程。

10. 逻辑综合在推断RTL 部品时,将值的变化通过时钟触发的信号推断为 ,

得 分

评卷人

下生成‘Z ’的信号推断为 ,将其它的推断为 。

11. 构造化法是目前可测性设计的主要方法,可以细分为: 法、边界扫

描测试法、 法、静止电源电流法。

12. 布局布线的步骤分为: 、电源布线、 、时钟布线、 等。

13. 为了进行时序验证、功耗验证、信号完整性验证及电子迁移性验证,需要从版图结果中提取 。 二、选择题(可多选,每题2分,合计40分)

1. 集成电路进入纳米尺寸时代后,将面临以下主要挑战: ( ) A. 漏电流增大导致总功耗增加; B. 栅极氧化膜厚度接近物理极

限;

C. 电路规模增大导致动态功耗增加;

D. 配线延迟不能相应降低从而影

响性能;

2. 以下哪几项是集成电路制作工艺的?

( )

A. SOP;

B. BCD;

C. BMOS;

D. CMOS;

E. BiMOS;

F. BCG 3. MOSFET 的温度特性体现为:

( )

A. 温度升高,载流子迁移率升高,跨导升高,阀值电压升高;

B. 温度升高,载流子迁移率升高,跨导下降,阀值电压下降;

C. 温度升高,载流子迁移率下降,跨导下降,阀值电压升高;

D. 温度升高,载流子迁移率下降,跨导下降,阀值电压下降; 4. 关于CMOS 反相器,以下描述中哪些是正确的?

( )

A. V tn ≤ V i ≤ ? V dd ,NMOS 导通,等效于电流源,PMOS 等效于非线性电阻;

B. V i ≈ ? V dd ,NMOS 和PMOS 都处于饱和区,等效于非线性电阻;

C. V dd /2 ≤ V i ≤ V dd /2 +V tp , PMOS 导通,等效于电流源,NMOS 等效于非线性电阻;

D. V i ≥ V dd +V tp ,NMOS 导通,PMOS 截止;

5. 以下哪些描述符合通用性设计七原则?

( )

A. 无论使用者的经验、文化水平、语言技能、使用时的注意力集中程度如何,都能容易地理解设计物的使用方式。

B. 设计物对于不同能力的人们来说都是有用而适合的。

C. 提供合适的尺度和空间以便于接近、到达、操控和使用,无论使用者的生理尺寸、体态和动态。

D. 设计物应该降低由于偶然动作和失误而产生的危害及负面后果。 6. 以下哪些为微处理器IP 必须具备的功能?

得分

评卷人

( )

A. Fetch;

B. Decode;

C. Execute;

D. Encode;

E. Writeback;

https://www.360docs.net/doc/ef15622799.html,pile;

7. 以下关于设计抽象度的描述中,哪些是正确的?

( )

A. 算法级描述决定系统的实施方式(体系结构、算法);

B. 门级描述是基于基本元件(AND/OR/NOT/FF等)的电路设计;

C. 门级描述决定硬件的处理方式(数据电路与控制电路);

D. RTL描述包括时钟级的时序设计;

8. 以下描述比较不同抽象度设计的仿真速度,哪些是正确的?

( )

A. 算法级>门级>RTL级;

B. RTL级>门级>算法级;

C. 门级>算法级>RTL级;

D. 算法级>RTL级>门级;

9. 以下关于逻辑综合的描述,哪些是正确的? ( )

A. 逻辑综合的结果是唯一的;

B. 逻辑综合技术可分为生成顺序电路和生成组合电路两类;

C. 布尔逻辑公式的简化一般与制造工艺无关。

D. 同一逻辑可以由多种电路实现,逻辑综合则选择与面积、延迟

时间、功耗等要求最接近的电路。

10. 以下问题描述中,哪些有可能通过可测性设计发现?

( )

A. 制造误差;

B. 性能问题;

C. 制造故障;

D. 功能未满足

顾客的需求;

11. 以下关于可测性设计的描述中,哪些是正确的?

( )

A. 可测性设计就是在设计阶段考虑测试因素,牺牲一部分芯片面

积换得测试的容易化;

B. 可测性设计使用自动生成工具(ATPG),易于生成故障覆盖率高

的测试模式。

C. 可测性设计由于增加了设计负荷,将一定导致芯片整体开发成

本的增加。

D. 可观察性与可控制性是衡量可测性设计的两个尺度。

12. 以下描述与可测性设计的设计制约相关,哪些是正确的?

()

A. 禁止使用循环组合电路;

B. FF的时钟信号必须能够从外部端口直接控制。

C. FF的复位信号必须能够从外部端口直接控制。

D. 扫描测试时,RAM和内核需要分开进行设计。

13. 在以下关于内建自测试法的描述中,哪些是正确的?

( )

A. 由于内嵌测试模式发生器,不需要额外生成测试模式;

B. 由于只输出GO/NOGO,故障分析很困难;

C. 由于内嵌测试输出评估部,不需要高价测试设备,可降低成本;

D. 不可用于Burn-In测试;

14. SOC设计采用基于IP分离的可测性设计,具体的实现手段有:

()

A. Distributed BIST;

B. Direct Access;

C. Test Bus;

D. Boundary Scan;

15. 以下时间因素中,会对电路最终的工作频率产生影响的有:

()

A. clock skew;

B. 组合电路的最大延迟;

C. FF的Setup时间;

D. FF的Hold时间;

16. 以下属于版图设计的验证科目有:

()

A. DRC;

B. LVS;

C. 时序验证;

D.信号完全性

17. 在以下关于布局布线算法的描述中,哪些是正确的?

( )

A. 是一种高速计算近似值的算法;

B. 是在实际可行的时间内计算布局布线最优解的算法;

C. 是求局部最优解的算法;

D. 为了让近似值接近最优解,有必要改变执行条件(初解、控制

参数)多次进行重新计算;

18. 在以下关于布局布线的描述中,哪些是正确的?

( )

A. 布线分全局布线与详细布线两个阶段,决定布线途径;

B. 当某个布线变为不可能时,确定并拆除成为其障碍物的布线群,

进行重新布线,使其不再成为其它布线的障碍;

C. 基于阶层的布局设计包括自顶向下的布图规划和自下向上的模块布局;

D. 自顶向下的布图规划包括对阶层模块进行面积预估、确定aspect比、放置模块及模

块间时间制约的分割;

19. 以下属于光刻工艺的为:

( )

A. 光刻胶涂覆;

B. 曝光;

C. 显影;

D. 腐蚀;

20. 以下为封装外型的为:

( )

A. DIP;

B.QFP;

C. BGA;

D. CSP;

三. 以下代码描述了4位到2位的解码器模块DEC (具体见以下注释)。

请使用Verilog HDL 描述语言写出能满足下列条件的测试平台模块

testbench :1.DEC 作为 testbench 的子模块,所有输入信号都由

testbench 生成并供给;2.输入信号din 必须随机生成;3.必须在testbench 内部自动判定DEC 输出信号dout 正确与非;4.能够将波形保存至文件。(20分) // decoder: din

dout // 1xxx 11 // 01xx 10 // 001x 01 //

000x

00

module DEC(clk, rstn, din, dout); input clk, rstn; input [3:0] din; output [1:0] dout; reg [1:0] dout;

always@(posedge clk or negedge rstn)

begin if (!rstn) dout <= 2'b00; else if (din[3] == 1'b1) dout <= 2'h3; else if (din[2] == 1'b1) dout <= 2'h2; else if (din[1] == 1'b1) dout <= 2'h1; else if (din[0] == 1'b1)

dout <= 2'h0; end endmodule

2011-2012学年第一学期《大规模集成电路》期末考试答案

一. 填空题(每个空格1分,共40分)

1. 半导体工艺,晶体管,半导体晶片,封装。

2. ASIC :Application Specific Integrated Circuits ASSP :Application Specific Standard Products LSI :Large Scale Integrated Circuits

得分 评卷人

3. L,t ox,W

4. 系统,逻辑,版图(或软件)

5.系统功能,制约,黑盒子。

6. 量产规模,弹性设计要求,开发周期。

7. 建模,探索,细化。

8. 算法级,RTL级,开发周期

9. RTL描述,门电路,面积,延迟,映射

10. D-FF,Latch,三状态门,组合电路

11. 扫描测试法,内建自测试法

12. 布图规划,布局(设计),布线(设计)

13. 布线寄生参数

二. 选择题(每题2分,共40分)

1. A,B,D

2.B,D

3.D

4.A,C,D

5.A,B,C,D

6.A,B,C,E

7.A,B,D

8.D

9.B,C,D 10. A,C

11. A,B,D 12. A,B,C,D 13. A,B,C 14.B,C,D 15. A,B,C,D

16.A,B,C,D 17.A,C,D 18.A,B,C,D 19.A,B,C,D 20.A,B,C,D

三、问答题(20分)

答题要点包括:对大规模集成电路领域及相关产业的认识;对这门课程的讲授内容及讲解方式的看法及建议,好的可行性建议可以适当加分。

---○---○

---

学 院

专业班级

学 号

姓 名

………… 评卷密封线 ……………… 密封线内不要答题,

密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 …………

中南大学考试试卷 时间110分钟

题 号

一 二 三 合 计

得 分

评卷人

2013 ~2014 学年一学期大规模集成电路设计课程试题 32

学时,开卷,总分100分,占总评成绩70 %

一、填空题(本题40分,每个空格1分)

3. 所谓集成电路,是指采用半导体工艺,把一个电路中所需

的 、 、电阻、电容和电感等元件连同它们之间的电气连线在一块或几块很小的 或介质基片上一同制作出来,形成完整电路,然后 在一个管壳内,成为具有特定电路功能的微型结构。 4. 集成电路按照应用领域可以分为: 、 、

与模拟集成电路。 3. 同时减小 、 与 ,可在保持漏源间电流不变的前提下减小器件面积,提高电路集成度。因此,缩短MOSFET 尺寸是VLSI 发展的趋势。

4. 大规模集成电路的设计流程包括:需求分析、系统设计、 设计、 设计、逻辑设计、可测性设计、 设计等。

5. 需求规格详细描述系统顾客或用户所关心的内容,包括 及必须满足的 。系统规格定义系统边界及系统与环境相互作用的信息,在这个规格中,系统以 的方式体现出来。

6. 根据硬件化的目的(高性能化、小型化、低功耗化、降低成本、知识产权保护等)、系统规模/性能、 、 、 等确定实现方法。

7. 体系结构设计的三要素为: 、 、 。 8. 高位综合是指从 描述自动生成 描述的过程。与人工设计相比,高位综合不仅可以尽可能地缩短 ,而且可以生成在面积、性能、功耗等方面表现出色的电路。

9. 逻辑综合就是将 变换为 ,根据 或 进行最优化,并进行特定工艺单元库 的过程。

10. 逻辑综合在推断RTL 部品时,将值的变化通过时钟触发的信号推断为 ,将与时钟无关但某个条件下保持值不变的信号推断为 ,将某个条件下生成‘Z ’的信号推断为 ,将其它的推断为 。

得 分

评卷人

11. 构造化法是目前可测性设计的主要方法,可以细分为: 法、边界扫

描测试法、 法、静止电源电流法。

12. 布局布线的步骤分为: 、电源布线、 、时钟布线、 等。

13. 为了进行时序验证、功耗验证、信号完整性验证及电子迁移性验证,需要从版图结果中提取 。 二、选择题(可多选,每题2分,合计40分)

2. 集成电路进入纳米尺寸时代后,将面临以下主要挑战: ( ) A. 漏电流增大导致总功耗增加; B. 栅极氧化膜厚度接近物理极

限;

C. 电路规模增大导致动态功耗增加;

D. 配线延迟不能相应降低从而影

响性能;

2. 以下哪几项是集成电路制作工艺的?

( )

A. SOP;

B. BCD;

C. BMOS;

D. CMOS;

E. BiMOS;

F. BCG 3. MOSFET 的温度特性体现为:

( )

A. 温度升高,载流子迁移率升高,跨导升高,阀值电压升高;

B. 温度升高,载流子迁移率升高,跨导下降,阀值电压下降;

C. 温度升高,载流子迁移率下降,跨导下降,阀值电压升高;

D. 温度升高,载流子迁移率下降,跨导下降,阀值电压下降; 4. 关于CMOS 反相器,以下描述中哪些是正确的?

( )

A. V tn ≤ V i ≤ ? V dd ,NMOS 导通,等效于电流源,PMOS 等效于非线性电阻;

B. V i ≈ ? V dd ,NMOS 和PMOS 都处于饱和区,等效于非线性电阻;

C. V dd /2 ≤ V i ≤ V dd /2 +V tp , PMOS 导通,等效于电流源,NMOS 等效于非线性电阻;

D. V i ≥ V dd +V tp ,NMOS 导通,PMOS 截止;

5. 以下哪些描述符合通用性设计七原则?

( )

A. 无论使用者的经验、文化水平、语言技能、使用时的注意力集中程度如何,都能容易地理解设计物的使用方式。

B. 设计物对于不同能力的人们来说都是有用而适合的。

C. 提供合适的尺度和空间以便于接近、到达、操控和使用,无论使用者的生理尺寸、体态和动态。

D. 设计物应该降低由于偶然动作和失误而产生的危害及负面后果。 6. 以下哪些为微处理器IP 必须具备的功能?

( )

得分

评卷人

A. Fetch;

B. Decode;

C. Execute;

D. Encode;

E. Writeback;

https://www.360docs.net/doc/ef15622799.html,pile;

7. 以下关于设计抽象度的描述中,哪些是正确的?

( )

A. 算法级描述决定系统的实施方式(体系结构、算法);

B. 门级描述是基于基本元件(AND/OR/NOT/FF等)的电路设计;

C. 门级描述决定硬件的处理方式(数据电路与控制电路);

D. RTL描述包括时钟级的时序设计;

8. 以下描述比较不同抽象度设计的仿真速度,哪些是正确的?

( )

A. 算法级>门级>RTL级;

B. RTL级>门级>算法级;

C. 门级>算法级>RTL级;

D. 算法级>RTL级>门级;

9. 以下关于逻辑综合的描述,哪些是正确的? ( )

A. 逻辑综合的结果是唯一的;

B. 逻辑综合技术可分为生成顺序电路和生成

组合电路两类;

C. 布尔逻辑公式的简化一般与制造工艺无关。

D. 同一逻辑可以由多种电路实现,逻辑综合则选择与面积、延迟

时间、功耗等要求最接近的电路。

10. 以下问题描述中,哪些有可能通过可测性设计发现?

( )

A. 制造误差;

B. 性能问题;

C. 制造故障;

D. 功能未满足

顾客的需求;

11. 以下关于可测性设计的描述中,哪些是正确的?

( )

A. 可测性设计就是在设计阶段考虑测试因素,牺牲一部分芯片面

积换得测试的容易化;

B. 可测性设计使用自动生成工具(ATPG),易于生成故障覆盖率高

的测试模式。

C. 可测性设计由于增加了设计负荷,将一定导致芯片整体开发成

本的增加。

D. 可观察性与可控制性是衡量可测性设计的两个尺度。

12. 以下描述与可测性设计的设计制约相关,哪些是正确的?

()

A. 禁止使用循环组合电路;

B. FF的时钟信号必须能够从外部端口直接控制。

C. FF的复位信号必须能够从外部端口直接控制。

D. 扫描测试时,RAM和内核需要分开进行设计。

13. 在以下关于内建自测试法的描述中,哪些是正确的?

( )

A. 由于内嵌测试模式发生器,不需要额外生成测试模式;

B. 由于只输出GO/NOGO,故障分析很困难;

C. 由于内嵌测试输出评估部,不需要高价测试设备,可降低成本;

D. 不可用于Burn-In测试;

14. SOC设计采用基于IP分离的可测性设计,具体的实现手段有:

()

A. Distributed BIST;

B. Direct Access;

C. Test Bus;

D. Boundary Scan;

15. 以下时间因素中,会对电路最终的工作频率产生影响的有:

()

A. clock skew;

B. 组合电路的最大延迟;

C. FF的Setup时间;

D. FF的Hold时间;

16. 以下属于版图设计的验证科目有:

()

A. DRC;

B. LVS;

C. 时序验证;

D.信号完全性

17. 在以下关于布局布线算法的描述中,哪些是正确的?

( )

A. 是一种高速计算近似值的算法;

B. 是在实际可行的时间内计算布局布线最优解的算法;

C. 是求局部最优解的算法;

D. 为了让近似值接近最优解,有必要改变执行条件(初解、控制

参数)多次进行重新计算;

18. 在以下关于布局布线的描述中,哪些是正确的?

( )

A. 布线分全局布线与详细布线两个阶段,决定布线途径;

B. 当某个布线变为不可能时,确定并拆除成为其障碍物的布线群,

进行重新布线,使其不再成为其它布线的障碍;

C. 基于阶层的布局设计包括自顶向下的布图规划和自下向上的模

块布局;

D. 自顶向下的布图规划包括对阶层模块进行面积预估、确定aspect比、放置模块及模

块间时间制约的分割;

19. 以下属于光刻工艺的为:

( )

A. 光刻胶涂覆;

B. 曝光;

C. 显影;

D. 腐蚀;

20. 以下为封装外型的为:

( )

A. DIP;

B.QFP;

C. BGA;

D. CSP;

三. 以下代码描述了4位到2位的解码器模块DEC (具体见以下注释)。

请使用Verilog HDL 描述语言写出能满足下列条件的测试平台模块

testbench :1.DEC 作为 testbench 的子模块,所有输入信号都由

testbench 生成并供给;2.输入信号din 必须随机生成;3.必须在testbench 内部自动判定DEC 输出信号dout 正确与非;4.能够将波形保存至文件。(20分) // decoder: din

dout // 1xxx 11 // 01xx 10 // 001x 01 //

000x

00

module DEC(clk, rstn, din, dout); input clk, rstn; input [3:0] din; output [1:0] dout; reg [1:0] dout;

always@(posedge clk or negedge rstn)

begin if (!rstn) dout <= 2'b00; else if (din[3] == 1'b1) dout <= 2'h3; else if (din[2] == 1'b1) dout <= 2'h2; else if (din[1] == 1'b1) dout <= 2'h1; else if (din[0] == 1'b1)

dout <= 2'h0; end endmodule

2012-2013学年第一学期《大规模集成电路》期末考试答案

二. 填空题(每个空格1分,共40分)

2. 二极管,晶体管,半导体晶片,封装。 2. 存储器,微处理器,逻辑集成电路

3. L ,t ox ,W

4. 系统,逻辑,版图(或软件)

得分 评卷人

5.系统功能,制约,黑盒子。

6. 量产规模,弹性设计要求,开发周期。

7. 建模,探索,细化。

8. 算法级,RTL级,开发周期

9. RTL描述,门电路,面积,延迟,映射

10. D-FF,Latch,三状态门,组合电路

11. 扫描测试法,内建自测试法

12. 布图规划,布局(设计),布线(设计)

13. 布线寄生参数

二. 选择题(每题2分,共40分)

1. A,B,D

2.B,D

3.D

4.A,C,D

5.A,B,C,D

6.A,B,C,E

7.A,B,D

8.D

9.B,C,D 10. A,C

11. A,B,D 12. A,B,C,D 13. A,B,C 14.B,C,D 15. A,B,C,D

16.A,B,C,D 17.A,C,D 18.A,B,C,D 19.A,B,C,D 20.A,B,C,D

三、问答题(20分)

答题要点包括以下5个要点,每个要点4分。

1.DEC作为 testbench的子模块,所有输入信号都由testbench生成并供给;

2.输入信号din必须随机生成;

3.必须在testbench内部自动判定DEC输出信号dout正确与非;

4.能够将波形保存至文件。

5.testbench的整体完成情况,是否存在语法错误。

参考答案:

module testbench;

reg clk, rstn;

reg [3:0] din;

wire [1:0] dout;

dec DEC(

.clk(clk),

.rstn(rstn),

.din(din),

.dout(dout)

);

initial begin

clk = 1'b1;

forever #50 clk = !clk;

end

initial begin

rstn = 1'b0;

#250;

rstn = 1'b1;

end

initial begin

forever begin

din = $random();

@(posedge clk);

end

end

reg [3:0] din_reg;

always@(posedge clk or negedge rstn)

begin

if (!rstn) begin

din_reg <= 4'b0000;

end

else begin

din_reg <= din;

end

end

initial begin

$monitor($stime, ": din = %b, dout = %b", din_reg,dout);

$dumpfile("sim.vcd");

$dumpvars(0);

#10000;

$stop;

end

reg [1:0] tmp;

initial begin

forever begin

@(posedge clk);

#5;

if (din_reg[3] == 1'b1)

tmp <= 2'h3;

else if (din_reg [2] == 1'b1)

tmp <= 2'h2;

else if (din_reg [1] == 1'b1)

tmp <= 2'h1;

else

tmp <= 2'h0;

if (tmp!= dout) begin

$display("Result is wrong!");

end

end

end

endmodule

中南大学考试试卷

中南大学考试试卷 2010-- 2011 学年 下 学期 总分100分,占总评成绩 70 % 时间110分钟 机械制造工艺学 课程 40 学时 2.5 学分 考试形式 闭 卷 专业班级: 学号: 姓名: 1、此页不作答题纸,请将答案写在答题纸上; 2、请在答题纸左上角用正楷字体写上任课老师的名字,交卷时按任课老师交卷。 一、填空题(每空1分,共30分) 1. 机械加工工艺规程的格式不尽相同,在大批大量生产中使用的工艺文件为( )。 2. 工件在机床上或夹具中装夹有三种主要的方法,即( )、( )和( )。 3. 某轴尺寸为Φ036 .0014.085.20++mm ,如将尺寸公差按“入体原则”标注,该轴尺寸为( )。 4. 在时间定额的组成中,( )和( )的总和称为操作时间。 5. 机器中最小的装配单元是( )。 6. 主轴回转轴线的运动误差可以分解为( )、( )和( )三种基本形式。 7. 在车床上车削轴类零件,采用双顶针定位,如果工艺系统中工件的刚度不足,则会产生( )误差;如果工艺系统中机床的刚度不足,则会产生( )误差。 8. 机械零件表面层金属硬度的变化可以用( )和( )两个指标来衡量。 9. 磨削淬火钢时,如果磨削区温度超过了相变温度,而磨削过程没有冷却液,零件表层金属将产生( )烧伤。 10. 表面强化工艺是指通过( )加工方法,使表层金属产生冷态塑性变形。 11. 零件的加工精度包含三方面的内容,即( )、( )和( )。 12. 保证产品装配精度的方法有( )、( )、( )和( )。 13. 精基准的选择原则有( )原则、( )原则、( )原则、自为基准原则和便于装夹原则。 14. 加工表面的几何形状误差包括表面粗糙度、( )、( )和( )。

中南大学网络教育数据结构

《数据结构》 学习中心: 专业: 学号: 姓名:

作业练习一 (第二章) 一、选择题 1、以下关于线性表的说法不正确的是( )。 A)线性表中的数据元素可以是数字、字符、记录等不同类型。 B)线性表中包含的数据元素个数不是任意的。 C)线性表中的每个结点都有且只有一个直接前趋和直接后继。 D)存在这样的线性表:表中各结点都没有直接前趋和直接后继。 2、线性表的顺序存储结构是一种( )的存储结构。 A)随机存取 B)顺序存取C)索引存取 D)散列存取 3、在顺序表中,只要知道( ),就可在相同时间内求出任一结点的存储地址。 A)基地址B)结点大小C)线性表大小D)基地址和结点大小 4、下面关于线性表的叙述中,错误的是哪一个?() A)线性表采用顺序存储,必须占用一片连续的存储单元。 B)线性表采用顺序存储,便于进行插入和删除操作。 C)线性表采用链接存储,不必占用一片连续的存储单元。 D)线性表采用链接存储,便于插入和删除操作。 5、线性表采用链表存储时其存储地址要求()。 A)必须是连续的;B)部分地址必须是连续的; C)必须是不连续的;D)连续和不连续都可以。 6、一个长度为n的顺序存储线性表中,向第i个元素(1≤i≤n+1)之前插入一个新元素时,需要从后向前依次后移( )个元素。 A)n-i B)n-i+1 C)n-i-1 D)i 7、( )运算中,使用顺序表比链表好。 A)插入B)删除C)根据序号查找 D)根据元素值查找 8、个具有n个结点的有序单链表中插入一个新结点并仍然有序的时间复杂度是( )。 A) O(1) B) O(n) C) O(n2) D) O(log2n) 9、在一个长度为n的顺序存储线性表中,删除第i个元素(1≤i≤n+1)时,需要从前向后依次前移( )个元素。 A)n-i B)n-i+1 C)n-i-1 D)i 10、在一个长度为n的线性表中顺序查找值为x的元素时,查找时的平均查找长度(即x 同元素的平均比较次数,假定查找每个元素的概率都相等)为( )。 A)n B)n/2 C)(n+1)/2 D)(n-1)/2 11、在一个带头结点单链表HL中,若要向表头插入一个由指针p指向的结点,则 执行( )。 A)HL = p; p->next = HL;B)p->next = HL; HL = p; C)p->next = HL; p = HL; D)p->next = HL->next; HL->next = p; 12、在一个单链表HL中,若要在指针q所指的结点的后面插入一个由指针p所指的结点,则执行( )。 A)q->next = p->next ; p->next = q; B)p->next = q->next; q = p;

《半导体集成电路》考试题目及参考答案

第一部分考试试题 第0章绪论 1.什么叫半导体集成电路? 2.按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写? 3.按照器件类型分,半导体集成电路分为哪几类? 4.按电路功能或信号类型分,半导体集成电路分为哪几类? 5.什么是特征尺寸?它对集成电路工艺有何影响? 6.名词解释:集成度、wafer size、die size、摩尔定律? 第1章集成电路的基本制造工艺 1.四层三结的结构的双极型晶体管中隐埋层的作用? 2.在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响?。 3.简单叙述一下pn结隔离的NPN晶体管的光刻步骤? 4.简述硅栅p阱CMOS的光刻步骤? 5.以p阱CMOS工艺为基础的BiCMOS的有哪些不足? 6.以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法。 7. 请画出NPN晶体管的版图,并且标注各层掺杂区域类型。 8.请画出CMOS反相器的版图,并标注各层掺杂类型和输入输出端子。 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应? 4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路 1.名词解释

中南大学人工智能考试试卷及答案

复无常,看不惯主管的假公济私,那么你要尝试学习如何与不同的人相处,提高自己化 中南大学考试试卷 2006年第2学期,考试时间110分钟 人工智能课程 48学时,3学分,考试形式:开卷 专业年级:计算机03级总分100分,占总评成绩70 % 注:此页不作答题纸,请将答案写在答题纸上 一、选择题(共20分,每题2分) 1、消解原理是一种用于 A、表达式变换的推理规则 B、变量运算的推理规则 C、一定的子句公式的推理规则 D 、规则演绎的推理规则 2、下列哪个系统属于新型专家系统? A、多媒体专家系统 B、实时专家系统 C、军事专家系统 D、分布式专家系统 3、示例学习属于下列哪种学习方法? A. 解释学习 B. 归纳学习 C. 类比学习 D. 机械学习 4、不属于神经网络常用学习算法的是: A. 有师学习 B. 增强学习 C. 观察与发现学习 D. 无师学习 5、人工智能应用研究的两个最重要最广泛领域为: A. 专家系统、自动规划 B. 专家系统、机器学习 C. 机器学习、智能控制 D. 机器学习、自然语言理解 6、下列搜索方法中不属于盲目搜索的是: A. 等代价搜索 B. 宽度优先搜索 C. 深度优先搜索 D. 有序搜索 7、被认为是人工智能“元年”的时间应为: A、1948年 B、1946年 C、1956年 D、1961年 8、被誉为国际“人工智能之父”的是: A、图灵(Turing) B、费根鲍姆(Feigenbaum) C、傅京孙(K.S.Fu) D、尼尔逊(Nilsson) 9、语义网络的组成部分为: A、框架和弧线 B、状态和算符 C、节点和链 D、槽和值 10、尽管人工智能学术界出现“百家争鸣”的局面,但是,当前国际人工智能的主流派仍属于:

中南大学考试试卷

冶金设备学试卷九 中南大学考试试卷 200 年~200 年度第学期时间110 分钟 卷冶金设备学课程学时学分考试形式:开 专业班级:,总分100分占总分 % 一.有关冶金设备论文一篇(30分) 题目:自拟 内容:以各类冶金设备为主,题材自定 形式:正式发表论文的标准格式 二.简答题(每小题5分,共30分) 1.重油的燃烧包括哪些过程,怎样提高重油的燃烧效率? 2.镁砖具有什么性质;为什么不能用水砌筑镁砖? 3.离子交换树脂具有哪些性质?按活性基团的性质,离子交换树脂可分为哪几种类型。 4.湿法冶炼金属设备的腐蚀可分为几种形式,试举例说明。 5.铝电解槽有哪些类型,各有何特征? 6.试述搅拌混合反应槽的类型和适用范围 三、计算题(共40分) 1.已知重力收尘器所处理的烟气中烟尘密度为4500kg/m3,降尘室内长为5m,宽为2m,高为2m;操作条件下气体流量为25000m3/h,气体密度为0.6kg/m3,粘度为3×10-5Pa·s,ξ0为20,试求能完全除去的最小烟尘颗粒的粒径。(5分)

2.已知发生炉煤气的干成分为:CO g29.8%,H2g15.4%,CH4g 3.08%,CO2g8.33%,O2g0.21%,N2g43.18%;当空气消耗系数n=1.2时,求: ⑴.30℃时,煤气的湿成分(3分); ⑵.理论空气需要量和实际空气需要量(6分); ⑶.燃烧产物生成量、成分和密度(6分); ⑷.实际燃烧温度(5分)。 3.一种悬浮液含有直径为1.5×10-4m的球形颗粒,生成的滤饼是不可压缩的,其空隙率为0.55,水的粘度为10-3P,试求滤饼的比阻。(5分) 4.某恒压过滤设备的过滤面积为1m2,过滤10分钟时得滤液0.16m3,过滤15分钟时得滤液0.2m3,问最初过滤5分钟时得滤液多少m3。(10分)

常用集成电路的型号及功能说明

型号功能 ACP2371NI 多制式数字音频信号处理电路ACVP2205 梳状滤波、视频信号处理电路 AN5071 波段转换控制电路 AN5195K 子图像信号处理电路 AN5265 伴音功率放大电路 AN5274 伴音功率放大电路 AN5285K 伴音前置放大电路 AN5342K 图像水平轮廓校正、扫描速度调制电路AN5348K AI信号处理电路 AN5521 场扫描输出电路 AN5551 枕形失真校正电路 AN5560 50/60Hz场频自动识别电路 AN5612 色差、基色信号变换电路 AN5836 双声道前置放大及控制电路 AN5858K TV/AV切换电路 AN5862K(AN5862S) 视频模拟开关 AN5891K 音频信号处理电路 AT24C02 2线电可擦、可编程只读存储器 AT24C04 2线电可擦、可编程只读存储器 AT24C08 2线电可擦、可编程只读存储器 ATQ203 扬声器切换继电器电路 BA3880S 高分辨率音频信号处理电路 BA3884S 高分辨率音频信号处理电路 BA4558N 双运算放大器 BA7604N 梳状切换开关电路 BU9252S 8bitA/D转换电路 CAT24C16 2线电可擦、可编程只读存储器 CCU-FDTV 微处理器 CCU-FDTV-06 微处理器 CD54573A/CD54573CS 波段转换控制电路 CH0403-5H61 微处理器 CH04801-5F43 微处理器 CH05001(PCA84C841) 微处理器 CH05002 微处理器 CH7001C 数字NTSC/PAL编码电路 CHT0406 微处理器 CHT0803(TMP87CP38N*) 8bit微处理器 CHT0807(TMP87CP38N) 8bit微处理器 CHT0808(TMP87CP38N) 8bit微处理器 CHT0818 微处理器 CKP1003C 微处理器 CKP1004S(TMP87CK38N) 微处理器 CKP1006S(TMP87CH38N) 微处理器

中南大学数据结构实验报告(六)

实验六 1.需求分析 2.二分查找算法(设计性实验) 问题描述 从键盘读入一串整数和一个待查键,查找在该整数串中是否有这个待查键。如果有,就输出它在 整数串中的位置;如果没有,输出1。 基本要求 掌握二分查找算法。 测试数据 由读者依据软件工程的测试技术自己确定。注意测试边界数据,如单个结点。 实现提示 利用二分查找算法查找实现。 4.简单个人电话号码查询系统(综合性实验) 问题描述 人们在日常生活中经常要查找某个人或某个单位的电话号码,本实验将实现一个简单的个人电话 号码查询系统,根据用户输入的信息(如姓名等)进行快速查询。 基本要求 (1) 在外存上,用文件保存电话号码信息。 (2) 在内存中,设计数据结构存储电话号码信息。 (3) 提供查询功能:根据姓名实现快速查询。 (4) 提供其他维护功能,如插入、删除、修改等。 测试数据 由读者依据软件工程的测试技术自己确定。注意测试边界数据,如单个结点。 实现提示 由于要管理的电话号码信息较多,而且要在程序运行结束后仍然保存电话号码信息,所以电话号码 信息采用文件的形式存放到外存中。在系统运行时,要将电话号码信息从文件调入内存来进行查找等操作。为了接收文件中的内容,要有一个数据结构与之对应,可以设计如下结构类型的数组来接收数据。const int max=10; struct TeleNumber { String name;// 姓名 String phoneNumber,// 固定电话号码 String mobileNumber,// 移动电话号码 String email;// 电子邮箱 }Tele[max]; 为了实现对电话号码的快速查询,可以将上述结构数组排序,以便应用二分查找,但是,在数组 中实现插入和删除操作的代价较高。如果记录需频繁进行插入或删除操作,可以考虑采用二叉排序树组织电话号码信息,这样查找和维护都能获得较高的时间性能。更复杂地,需考虑该二叉排序树是否平衡,如何使之达到平衡。

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

中南大学计算机数据结构试题参考答案

中南大学考试试卷 2015--2016学年上学期期末考试试题时间100分钟 数据结构课程56学时3.5学分考试形式:闭卷 专业年级:计算机科学与技术10级总分100分,占总评成绩70% 姓名班级学号 (本试卷共四道大题,答案全部做在答题纸上!) 一、选择题(每题2分,共24分) 1.以下数据结构中,属于线性结构的是() A.图B.栈 C.二分查找树D.森林 2.用二分法查找表(a0,a1,a2,a3,……a16),需要比较2次才能找到的元素是() A.a7和a16 B.a11和a13 C.a1和a14 D.a3和a12 3.用概率查找改进查找效率,是经过多次查找以后使得() A.查找次数越少的元素查找速度越快 B.查找次数越少的元素越往前存放 C.查找次数越多的元素越往后存放 D.查找次数越多的元素查找速度越快 4.二分查找要求元素( ) A.有序、顺序存储 B.有序、链式存储 C.无序、顺序存储 D.无序、链式存储 5.已知pPre为指向链表中某结点的指针,pNew是指向新结点的指针,以下哪段伪码算 法是将一个新结点插入到链表中pPre所指向结点的后面?() A.pPre->link = pNew; pNew = null; B.pPre->link = pNew->link; pNew->link = null; C.pNew->link = pPre->link; pPre->link = pNew; D.pNew->link = pPre->link; pPre->link = null; 6.在递归算法执行过程中,计算机系统必定会用到的数据结构是() A.队列B.链表 C.栈D.二叉树 7.一个队列的入列序为ABCD,则队列的可能输出序列为() A.DCBA B.ABCD C.ADCB D.CBDA 8.具有10个叶子结点的二叉树中有()个度为2的结点 A.8B.9 C.10D.11 9.若A=10,B=4,C=6,D=4,E=15则后缀表达式“AB*CD+-E+”的值为( )。 A.45B.31

中南大学考试试卷带答案

中南大学考试试卷带答案 2010 — 2011 学年上学期时间110分钟MATLAB程序设计与仿真课程 32 学时 2 学分考试形式:闭卷专业年级:电子信息工程2008级,总分100分,占总评成绩70% 一、填空题(本题42分,每空2分) 1.MATLAB中的显示所有内存变量的命令是:who ;清除内存变量的命令是:clear 。 2.在MA TLAB命令窗口中的“≥”标志表示MA TLAB处于准备状态。 3.把一个图形显示在一个图像窗口的m×n个子图像中的第p个位置的命令是subplot(m,n,p) 。 4.设A=reshape(1:9,3,3), 删除矩阵A的第7号元素后,A= 1 2 3 4 5 6 8 9 。 5.已知A=[1 2 3;4 5 0;7 8 9];B=[1 0 3;1 5 0;0 1 2];写出下列各指令运行的结果。 A+B ans=2 2 6;5 10 0;7 9 11 ; A.*B ans= 1 0 9;4 25 0;0 8 18 ; diag(A)/diag(B) ans= ; A(3)*B(4) ans= 0 。 6.假定变量A是5行4列的矩阵,则plot(A)将在图形窗口绘制 4 条折线。7.x=-2.65, 则fix(x)= -2 , floor(x)= -3 , ceil(x)= -2 。 8.假定将符号变量x,y定义“x=sym(…a?);y=sym(?5?); z=sym(?2?);”,则写出下列指令的运行结果: y+z ans= 7 ; x^z-y ans= a^2-5 ; 9.(超纲)MA TLAB中的读图像的函数是imread ;显示图像的函数是:imshow 。 10.MATLAB中,特殊变量…nargin?表示在函数体内判断输入变量个数;…nargout?表示在函数体内输出参数的个数。 ?11. 产生3行4列均值为1,方差为2的正态随机矩阵的命令是a=_____1+sqrt(2)*randn (3,4)_______。 12 w=[zeros(3,1) ,ones(1,3)?] 的结果是。 二、简答题(20,每题5分) 1. 用结构体矩阵来存储5名学生的基本情况数据,每名学生的数据包括学号、姓名、专业和5门课成绩? 答:程序设计:

集成电路功能

M11B416256A 存储集成电路 M1418VVW 微处理集成电路 M2063SP 制式转换集成电路 M208 系统控制集成电路 M24C08 存储集成电路 M24C128-WMN6 存储集成电路 M27V201-200N6 中文字库集成电路 M28F101AVPAD 存储集成电路 M3004LAB1 红外遥控信号发射集成电路M32L1632512A 存储集成电路 M34300-012SP 微处理集成电路 M34300-628SP 微处理集成电路 M34300M4-012SP 微处理集成电路 M34300N4-011SP 微处理集成电路 M34300N4-012SP 微处理集成电路 M34300N4-555SP 微处理集成电路 M34300N4-567SP 微处理集成电路 M34300N4-584SP 微处理集成电路 M34300N4-587SP 微处理集成电路 M34300N4-628SP 微处理集成电路 M34300N4-629SP 微处理集成电路 M34300N4-657SP 微处理集成电路 M34302M8-612SP 微处理集成电路 M37100M8-616SP 微处理集成电路 M37102M8-503SP 微处理集成电路 M37103M4-750SP 微处理集成电路 M37201M6 微处理集成电路 M37204M8-852SP 微处理集成电路 M37210M2-609SP 微处理集成电路 M37210M3-010SP 微处理集成电路 M37210M3-550SP 微处理集成电路 M37210M3-603SP 微处理集成电路 M37210M3-800SP 微处理集成电路 M37210M3-901SP 微处理集成电路 M37210M3-902SP 微处理集成电路 M37210M4-650SP 微处理集成电路 M37210M4-688微处理集成电路 M37210M4-705SP 微处理集成电路 M37210M4-786SP 微处理集成电路 M37211M2-604SP 微处理集成电路 M37211M2-609SP 微处理集成电路 M37220M3 微处理集成电路 M37221 微处理集成电路 M37221M6-065SP 微处理集成电路

中南大学软件工程复习题及参考答案

中南大学复习题及参考答案 软件工程 一、选择题: 1.下面哪些UML图描述系统行为( A ) A.用例图 B.类图 C.对象图 2.属于概要设计活动的是( A ) A.软件结构设计 B.数据结构设计 C.算法设计 3.属行为型设计模式的是(C) A.组合模式 B.工厂方法模式 C.观察者模式 4.下列说法正确的是( B )是软件开发方法是系统描述语言是软件开发过程 5. 根据程序流程图划分的模块通常是( B ) A. 信息内聚的模块 B. 过程内聚的模块 C.逻辑内聚的模块 6.如果某程序中的比较个数是m,则其McCabe环形复杂度为( C ) +1 7.按ISO9000-3的说明,下列属软件配置项的是( C ) A.软件开发方法 B.软件开发组织管理制度 C.软件开发合同 8. 软件测试的目的是( C ) A.证明软件无错 B.发现软件中的所有错误 C.尽可能发现软件系统中的错误 9.软件重构关注的是( B ) A. 软件体系结构 B. 模块细节 C.软件性能 10.软件项目开发计划的内容有( B ) A. 数据分析 B.风险分析 C.功能分析 11.在UML的类图中,描述整体与部分关系的有( B ) A.泛化关系 B.聚合关系 C.依赖关系 12.软件过程能力成熟度模型CMM用以评价(A) A.软件过程能力 B.组织能力 C.学习能力 13. 因计算机硬件和软件环境的变化而作出的修改软件的过程称为( C ) A. 完善性维护 B. 改正性维护 C.适应性维护 14. 对项目软件而言,软件功能需求信息主要由谁提供( A ) A.软件用户 B.软件开发人员 C.软件项目管理人员 15. IDEF0图反映不出(C) A.系统做什么 B.系统功能由谁做 C.系统如何做 16. 原型模型是一种什么开发过程模型(B) A.自顶向上 B. 由外至内 C.增量式 17. 系统流程图描述(A) A.物理系统 B.逻辑系统 C.软件体系结构 18.需求规格说明书的内容不应该包括( C ) A.软件确认准则 B.软件的性能描述 C.算法过程的详细描述 19.适合需求模糊或需求不确定系统开发的软件开发模型有( C ) A. 瀑布模型 B. RAD模型 C.原型模型 图中描述系统结构的有( A ) A. 组件图 B. 顺序图 C.状态图 21.面向对象方法是一种什么软件开发方法( B ) A.层次化 B.迭代增量式 C.逐步求精 22.不可以用来衡量软件可维护性的有() A.可靠性 B.可用性 C.可行性 23. 系统分析员在需求分析最后负责编写()

中南大学考试试卷(A)参考答案

中南大学考试试卷(A)参考答案2010-2011 学年下学期期末考试试题时间100分钟 新闻英语课程 32 学时 2学分考试形式:闭卷 专业年级:非英语专业2009级总分100分,占总评成绩 70% Part I. Translation from English into Chinese 20% 1.亚太经济合作组织 2. 20国集团 3. 欧盟 4. 世界知识产权组织 5. 石油输出国组织 6. 世界贸易组织 7. 世界银行 8. 世界卫生组织 9. 国际货币基金组织10. 博鳌亚洲论坛 Part II. Translation from Chinese into English20% 1. pension insurance https://www.360docs.net/doc/ef15622799.html,fortably-off level, well-off level ,well-to-do level 3. China hand 4. red-carpet welcome 5. corruption reporting center 6. economic sanction 7. housing reform 8. news conference 9. peace-keeping force 10. racial discrimination Part III. Blank filling 20% 1.powered 2. pumps 3. faced 4. irrigation 5. stream 6. square 7. average 8. per 9. traditional 10. fuels Part IV. Dictation20% Loneliness has been linked to depression and other health problems. Now, a study says it can also spread. A friend of a lonely person was fifty-two percent more likely to develop feelings of loneliness. And a friend of that friend was twenty-five percent more likely to do the same. Earlier findings showed that happiness, obesity and the ability to stop smoking can also spread like infections within social groups. The findings all come from a major health study in the American town of Framingham, Massachusetts. Part V. Short Answer Questions 20% 1. The World Health Organization 2. It shortens. 3. Sleeping sickness 4. The central nervous system 5. It kills.

《数字集成电路》期末试卷(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BC D D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

中南大学数据结构与算法

第一章绪论习题练习答案 简述下列概念:数据、数据元素、数据类型、数据结构、逻辑结构、存储结构、线性结构、非线性结构。 ? 数据:指能够被计算机识别、存储和加工处理的信息载体。 ? 数据元素:就是数据的基本单位,在某些情况下,数据元素也称为元素、结点、顶点、记录。数据元素 有时可以由若干数据项组成。 ? 数据类型:是一个值的集合以及在这些值上定义的一组操作的总称。通常数据类型可以看作是程序设计语言中已实现的数据结构。 ? 数据结构:指的是数据之间的相互关系,即数据的组织形式。一般包括三个方面的内容 :数据的逻辑结构、存储结构和数据的运算。 ? 逻辑结构:指数据元素之间的逻辑关系 ? 存储结构:数据元素及其关系在计算机存储器内的表示,称为数据的存储结构 ? 线性结构:数据逻辑结构中的一类。它的特征是若结构为非空集,则该结构有且只有一个开始结点和一个终端结点,并且所有结点都有且只有一个直接前趋和一个直接后继。线性表就是一个典型的线性结构。 栈、队列、串等都是线性结构。 ? 非线性结构:数据逻辑结构中的另一大类,它的逻辑特征是一个结点可能有多个直接前趋和直接后继。数组、广义表、树和图等数据结构都是非线性结构。

试举一个数据结构的例子、叙述其逻辑结构、存储结构、运算三个方面的内容。 答: 例如有一张学生体检情况登记表,记录了一个班的学生的身高、体重等各项体检信息。这张登记表中,每个学生的各项体检信息排在一行上。这个表就是一个数据结构。每个记录(有姓名,学号,身高和体重等 字段)就是一个结点,对于整个表来说,只有一个开始结点(它的前面无记录)和一个终端结点(它的后面无记录),其他的结点则各有一个也只有一个直接前趋和直接后继(它的前面和后面均有且只有一个记录)。这几 个关系就确定了这个表的逻辑结构是线性结构。 这个表中的数据如何存储到计算机里,并且如何表示数据元素之间的关系呢即用一片连续的内存单元来存放这些记录(如用数组表示)还是随机存放各结点数据再用指针进行链接呢这就是存储结构的问题。 在这个表的某种存储结构基础上,可实现对这张表中的记录进行查询,修改,删除等操作。对这个表可以进行哪些操作以及如何实现这些操作就是数据的运算问题了。 常用的存储表示方法有哪几种 答: 常用的存储表示方法有四种 : ? 顺序存储方法:它是把逻辑上相邻的结点存储在物理位置相邻的存储单元里,结点间的逻辑关系由存储单元的邻接关系来体现。由此得到的存储表示称为顺序存储结构,通常借助程序语言的数组描述。 ? 链接存储方法:它不要求逻辑上相邻的结点在物理位置上亦相邻,结点间的逻辑关系是由附加的指针字段表示。由此得到的存储表示称为链式存储结构,通常借助于程序语言的指针类型描述。 ? 索引存储方法:除建立存储结点信息外,还建立附加的索引表来标识结点的地址。组成索引表的索引 项由结点的关键字和地址组成。若每个结点在索引表中都有一个索引项,则该索引表称之为稠密索引(Dense Index)。若一组结点在索引表中只对应一个索引项,则该索引表称为稀疏索引。 ? 散列存储方法:就是根据结点的关键字直接计算出该结点的存储地址。 设三个函数 f,g,h 分别为 f(n)=100n 3+n2+1000 , g(n)=25n3+5000n2 , h(n)=+5000nlgn 请判断下列关系是否成立:

集成电路工艺原理试题总体答案

目录 一、填空题(每空1分,共24分) (1) 二、判断题(每小题1.5分,共9分) (1) 三、简答题(每小题4分,共28分) (2) 四、计算题(每小题5分,共10分) (4) 五、综合题(共9分) (5) 一、填空题(每空1分,共24分) 1.制作电阻分压器共需要三次光刻,分别是电阻薄膜层光刻、高层绝缘层光刻和互连金属层光刻。 2.集成电路制作工艺大体上可以分成三类,包括图形转化技术、薄膜制备技术、掺杂技术。 3.晶体中的缺陷包括点缺陷、线缺陷、面缺陷、体缺陷等四种。 4.高纯硅制备过程为氧化硅→粗硅→ 低纯四氯化硅→ 高纯四氯化硅→ 高纯硅。 5.直拉法单晶生长过程包括下种、收颈、放肩、等径生长、收尾等步骤。 6.提拉出合格的单晶硅棒后,还要经过切片、研磨、抛光等工序过程方可制备出符合集成电路制造要求的硅衬底 片。 7.常规的硅材料抛光方式有:机械抛光,化学抛光,机械化学抛光等。 8.热氧化制备SiO2的方法可分为四种,包括干氧氧化、水蒸汽氧化、湿氧氧化、氢氧合成氧化。 9.硅平面工艺中高温氧化生成的非本征无定性二氧化硅对硼、磷、砷(As)、锑(Sb)等元素具有掩蔽作用。 10.在SiO2内和Si- SiO2界面存在有可动离子电荷、氧化层固定电荷、界面陷阱电荷、氧化层陷阱等电荷。 11.制备SiO2的方法有溅射法、真空蒸发法、阳极氧化法、热氧化法、热分解淀积法等。 12.常规平面工艺扩散工序中的恒定表面源扩散过程中,杂质在体内满足余误差函数分布。常规平面工艺扩散工序中的有限表 面源扩散过程中,杂质在体内满足高斯分布函数分布。 13.离子注入在衬底中产生的损伤主要有点缺陷、非晶区、非晶层等三种。 14.离子注入系统结构一般包括离子源、磁分析器、加速管、聚焦和扫描系统、靶室等部分。 15.真空蒸发的蒸发源有电阻加热源、电子束加热源、激光加热源、高频感应加热蒸发源等。 16.真空蒸发设备由三大部分组成,分别是真空系统、蒸发系统、基板及加热系统。 17.自持放电的形式有辉光放电、弧光放电、电晕放电、火花放电。 18.离子对物体表面轰击时可能发生的物理过程有反射、产生二次电子、溅射、注入。 19.溅射镀膜方法有直流溅射、射频溅射、偏压溅射、磁控溅射(反应溅射、离子束溅射)等。 20.常用的溅射镀膜气体是氩气(Ar),射频溅射镀膜的射频频率是13.56MHz。 21.CVD过程中化学反应所需的激活能来源有?热能、等离子体、光能等。 22.根据向衬底输送原子的方式可以把外延分为:气相外延、液相外延、固相外延。 23.硅气相外延的硅源有四氯化硅(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷(SiH2Cl2)、硅烷(SiH4)等。 24.特大规模集成电路(ULIC)对光刻的基本要求包括高分辨率、高灵敏度的光刻胶、低缺陷、精密的套刻对准、对大尺寸硅片 的加工等五个方面。 25.常规硅集成电路平面制造工艺中光刻工序包括的步骤有涂胶、前烘、曝光、显影、坚膜、腐蚀、 去胶等。 26.光刻中影响甩胶后光刻胶膜厚的因素有溶解度、温度、甩胶时间、转速。 27.控制湿法腐蚀的主要参数有腐蚀液浓度、腐蚀时间、腐蚀液温度、溶液的搅拌方式等。 28.湿法腐蚀Si所用溶液有硝酸-氢氟酸-醋酸(或水)混合液、KOH溶液等,腐蚀SiO2常用的腐蚀剂是HF溶液,腐蚀 Si3N4常用的腐蚀剂是磷酸。 29.湿法腐蚀的特点是选择比高、工艺简单、各向同性、线条宽度难以控制。 30.常规集成电路平面制造工艺主要由光刻、氧化、扩散、刻蚀、离子注入(外延、CVD、PVD)等工 艺手段组成。 31.设计与生产一种最简单的硅双极型PN结隔离结构的集成电路,需要埋层光刻、隔离光刻、基区光刻、发射区光刻、引线区 光刻、反刻铝电极等六次光刻。 32.集成电路中隔离技术有哪些类? 二、判断题(每小题1.5分,共9分) 1.连续固溶体可以是替位式固溶体,也可以是间隙式固溶体(×) 2.管芯在芯片表面上的位置安排应考虑材料的解理方向,而解理向的确定应根据定向切割硅锭时制作出的定位面为依据。(√) 3.当位错线与滑移矢量垂直时,这样的位错称为刃位错,如果位错线与滑移矢量平行,称为螺位错(√) 4.热氧化过程中是硅向二氧化硅外表面运动,在二氧化硅表面与氧化剂反应生成二氧化硅。(×) 5.热氧化生长的SiO2都是四面体结构,有桥键氧、非桥键氧,桥键氧越多结构越致密,SiO2中有离子键成份,氧空位表现为带正

中南大学软件工程历届试卷

填空题(本题20分,每小题2分) 1. 瀑布模型是以文档为驱动、适合于(软件需求确定的)软件项目的开发。 2. 需求分析的基本任务是(确定用户需要软件做什么)。 3. 若有一个计算类型的程序,它的输入量只有一个X,其范围是[-1.0,1.0],现从输入的角度考虑一组测试用例:-1.001,-1.0,1.0,1.001。设计这组测试用例的方法是(边界值分析法)。 4. 根据程序流程图划分的模块通常是(过程)内聚的模块。 5. 软件的分类,按其功能可分为:系统软件、(支撑软件)和应用软件。 6. UML的定义包括UML语义和(UML表示法)两个部分。 7. 客观世界中的若干类,通常有两种主要的结构关系:即分类结构和( 整体与部分结构)。 四、测试题(本题16分,每小题8分) 某程序的程序流程图如下图所示,试据此回答下述问题: 1. 计算程序的环形复杂度; 2. 设计测试用例,满足基本路径覆盖。 四.(1)环路复杂性=判断数+1=6+1=7(个) (2)路径1:(0)—①—(13)—(19) 路径2:(0)—②—③—(14)—(19) 路径3:(0)—②—④—⑤—(15)—(19) 路径4:(0)—②—④—⑥—⑦—(16)—(19) 路径5:(0)—②—④—⑥—⑧—⑨—(17)—(19) 路径6:(0)—②—④—⑥—⑧—⑩—(18)—(19) 路径7:(0)—②—④—⑥—⑧—⑩—(12)—(19) 一、填空题(本题30分,每小题1.5分) 1、软件是计算机程序、(描述计算机程序的文档)及(数据)的完整集合,将其统称为软件配置,这些项称为软件配置项。通过正式复审的软件配置项称为(基线),只有通过正式的变化控制过程才能改变它。 2、通常,将软件生命周期全过程中使用的一整套技术方法的集合称为方法学,包含三个要素,即方法、(工具)和(过程)。传统方法学采用(结构化)技术来自顶向下顺序地完成软件开发的各项任务,而面向对象方法是一种以(数据)为主线,把数据和对数据的操作紧密地结合起来的方法,其开发过程是一个多次迭代的演化过程。 3、软件测试的目标是(暴露程序中的错误),因而从心理学角度来看,由程序作者对自己编写的程序进行测试是不恰当的。设计测试方案是测试阶段的关键技术问题,测试方案一般包括(测试目的)、输入的测试数据

中南大学人工智能考试试卷及答案

中南大学考试试卷 20XX年第2学期,考试时间110分钟 人工智能课程48学时,3学分,考试形式:开卷 专业年级:计算机03级总分100分,占总评成绩70 % 注:此页不作答题纸,请将答案写在答题纸上 一、选择题(共20分,每题2分) 1、消解原理是一种用于 A、表达式变换的推理规则 B、变量运算的推理规则 C、一定的子句公式的推理规则 D 、规则演绎的推理规则 2、下列哪个系统属于新型专家系统? A、多媒体专家系统 B、实时专家系统 C、军事专家系统 D、分布式专家系统 3、示例学习属于下列哪种学习方法? A. 解释学习 B. 归纳学习 C. 类比学习 D. 机械学习 4、不属于神经网络常用学习算法的是: A.有师学习 B.增强学习 C.观察与发现学习 D.无师学习 5、人工智能应用研究的两个最重要最广泛领域为: A. 专家系统、自动规划 B. 专家系统、机器学习 C. 机器学习、智能控制 D. 机器学习、自然语言理解 6、下列搜索方法中不属于盲目搜索的是: A. 等代价搜索 B.宽度优先搜索 C.深度优先搜索 D.有序搜索 7、被认为是人工智能“元年”的时间应为: A、1948年 B、1946年 C、1956年 D、1961年 8、被誉为国际“人工智能之父”的是: A、图灵(Turing) B、费根鲍姆(Feigenbaum) C、傅京孙(K.S.Fu) D、尼尔逊(Nilsson) 9、语义网络的组成部分为: A、框架和弧线 B、状态和算符 C、节点和链 D、槽和值 10、尽管人工智能学术界出现“百家争鸣”的局面,但是,当前国际人工智能的主流派仍属于:

A、连接主义 B、符号主义 C、行为主义 D、经验主义 二、填空题(共20分,每一填空处1分) 1、机器学习系统由____________、____________、____________和____________几部分构成。 2、人工智能是计算机科学中涉及研究、设计和应用____________的一个分支,它的近期目标在于研究用机器来____________的某些智力功能。 3、规则演绎系统根据推理方向可分为____________、____________以及____________等。 4、计算智能是人工智能研究的新内容,涉及____________、____________和____________等。 5、启发式搜索是一种利用____________信息的搜索,估价函数在搜索过程中起的作用是________________________。 6、模糊判决的常用方法有____________、____________、____________和____________等。 7、在与或图中,没有后裔的非终叶节点为不可解节点,那么含有或后继节点且后裔中至少有一个为可解的非终叶节点是____________,含有与后继节点且后裔中至少有一个为不可解的非终叶节点是____________。 三、回答下列问题(共60分) 1、(10分)当前人工智能有哪些学派?他们对人工智能在理论上有何不同观? 2、(10分)请说明神经元的基本结构和前馈型神经网络的工作过程。 3、(10分)试说明产生式系统的基本结构,各部分的功能以及主要工作过程。 4、(10分)专家系统包括那些基本部份?每一部分的主要功能是什么? 5、(10分)某单位派遣出国人员,有赵、钱、孙三位候选人,经讨论后决定: (1)三人中至少派遣一人。 (2)如果赵去而钱不去,则一定派孙去。 (3)如果钱去,则一定派孙去。 求证:一定会派孙出国。 设用P(x)表示派x出国,zhao、qian、sun分别表示三人,将已知条件与目标用谓词公式正确的表示出来,并用消解反演进行证明。 6、(10分)对于八数码难题按下式定义估价函数: f(x)=d(x)+h(x) 其中,d(x)为节点x的深度;h(x)是所有棋子偏离目标位置的曼哈顿距离(棋子偏离目标位置的水平距离和垂直距离和),例如下图所示的初始状态S0:8的曼哈顿距离为2;2的曼哈顿距离为1;1的曼哈顿距离为1;6的曼哈顿距离为1;h(S0)= 5。 初始状态(S0) ( 1)用A*搜索法搜索目标,列出头三步搜索中的OPEN、CLOSED表的内容和当前扩展节点的f值。(2)画出搜索树和当前扩展节点的f值。

相关文档
最新文档