集成触发器及其应用电路设计

集成触发器及其应用电路设计
集成触发器及其应用电路设计

华中科技大学

《电子线路设计、测试与实验》实验报告

实验名称:集成运算放大器的基本应用

院(系):自动化学院

地点:南一楼东306

实验成绩:

指导教师:汪小燕

2014 年 6 月7 日

一、实验目的

(1)了解触发器的逻辑功能及相互转换的方法。

(2)掌握集成JK触发器逻辑功能的测试方法。

(3)学习用JK触发器构成简单时序逻辑电路的方法。

(4)熟悉用双踪示波器测量多个波形的方法。

(5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术。

二、实验元器件及条件

双JK触发器 CC4027 2片;

四2输入与非门 CC4011 2片;

三3输入与非门 CC4023 1片;

计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆。

三、预习要求

(1)复习触发器的基本类型及其逻辑功能。

(2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。

(3)按硬件电路实验内容(4)(5),分别设计同步3分频电路和同步模4可逆计数器电路。

四、硬件电路实验内容

(1)验证JK触发器的逻辑功能。

(2)将JK触发器转换成T触发器和D触发器,并验证其功能。

(3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起,接到第一个JK触发器的输出端Q,两个JK触发器的时钟端CP接在一起,并输

入1kHz正方波,用示波器分别观察和记录CP、0Q、1Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。

(4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP、0Q、1Q的波形。

(5)根据给定器件,设计一个可逆的同步模4计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;1Q、Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输0

入、输出波形。

五、实验结果及分析 5.1 三分频电路

(1)根据三分频电路的功能,得到状态转换真值表如下:

1n Q 0n Q 11n Q + 10n Q + 1J 1K

0J 0K

0 0 0 1 0 ? 1 ? 0 1 1 0 1 ? ? 1 1

?

1

?

1

得到激励方程:

10101011

J Q K J Q K ====

输出方程:

11101

1

n n n n n n

Q Q Q Q Q Q ++==

按照上述分析,得到实验电路图如下:

(2)按照上述设计电路,插板实验得到示波器的图形如下:

(3)结果分析

从图上可以看出,同步三分频电路较好的将原输入信号的频率减为原来的 1/3,且实现了状态真值表的功能。

5.2 同步模4计数器

(1)功能简介

1Q 、

0Q 为计数器状态,M 为输入控制端,当0M =时,进行递增计数,当1M =时,进行递减计数。Z 为输出进位或借位信号。

(2)状态转换真值表

根据电路功能及JK 触发器的性质,状态转换真值表如下:

1Q 0Q M 11n Q + 10n Q + Z

1J 1K 0J 0K 0 0 0 0 1 0 0 X 1 X 0 1 0 1 0 0 1 X X 1 1 0 0 1 1 0 X 0 1 X 1 1 0 0 0 1 X 1 X 1 0

1

1

1

1

1

X

1

X

1 1 1 1 0 0 X 0 X 1 1 0 1 0 1 0 X 1 1 X 0

1

1

X

X

1

根据真值表作卡诺图化简得激励方程为:

00

1000011

11J K J Q M Q M Q M Q M K J =??=??

=+=??=? 输出方程为:

1010Z Q Q M Q Q M =

根据激励方程及输出方程,作出电路图如下:

(3)连接电路后,示波器观察10Q Q Z 、、的波形如下:

当0M =时,波形实现了递增计数功能,触发方式选择下降,得到实验波形如下,

Q1,CP 波形如下:

Q1,Q0波形如下:

Q1与进位退位信号Z波形如下:

当1

M 时,波形有递减计数功能,触发方式选择上升。Q1 与CP波形:

Q1与Q0波形:

Q1 与进位信号Z波形:

(4)注意事项

1.实验中需观察多个波形,故需选定某一波形为基准信号,其他信号通过与该信号比对判断结果是否错误。

2.注意示波器触发选项,为使触发器从“零”开始工作,需要选择触发设置的信号端及触发选项(上升、下降)。

3.同步模4技术实验连线较复杂,需准备足够导线及相应集成电路,连线时要有耐心。

5.3、十进制加减可逆计数器设计(附加实验题,未做)

设计方案

在十进制计数体制中,每位数都可能是0,1,2,…,9十个数码中的任意一个,且“逢十进一”。根据计数器的构成原理,必须由四个触发器的状态来表示一位十进制数的四位二进制编码。而四位编码总共有十六个状态。所以必须去掉其中的六个状态,至于去掉哪六个状态,可有不同的选择。这里考虑去掉1010~1111六个状态,即采用8421BCD码的编码方式来表示一位十进制数。

8421BCD码异步十进制加计数器: 用JK主从触发器组成的一位异步十进制加计数器如图所示。

1、电路结构: 由四个JK主从触发器组成,其中FF0始终处于计数状态。Q0同时触发FF1和FF3,Q3反馈到J1,Q2Q1作为J3端信号。

2、工作原理:

(1)工作波形分析法由逻辑图可知,在FF3翻转以前,即从状态0000到0111为止,各触发器翻转情况与异步二进制递增计数器相同。第八个脉冲输入后,四个触发器状态为1000,此时Q3=0,使下一个FF0来的负阶跃电压不能使FF1翻转。因而在第十个脉冲输入后,触发器状态由1001变为0000,而不是1010,从而使四个触发器跳过1010~1111六个状态而复位到原始状态0000,其工作波形如图8(b)所示。当第十个脉冲作用后,产生进位输出信号C0=Q3Q0。

(2)状态方程分析法:

首先列出各触发器驱动方程:

3、触发器在异步工作时,若有CP触发沿输入,其状态由特征方程确定,否则维持原态不变。这时触发器的特征方程可变为Qn+1=(JQn+KQn)CP↓+QnCP↓,其中CP↓=1表示有CP触发沿加入,CP=0表示没有CP触发沿加入。所以可以写出以下状态方程:

根据以上状态方程,即可列出计数器的状态转移表。

七、实验总结

1、本次实验有模3、模4两个实验,其中模3实验较简单,连线不复杂,模4实验连线较复杂。模3实验可作为多波形观察方法的入手实验,为模4实验中的波形观察,示波器设置打基础。在实验时,首先要注意示波器触发时的信号源,一般选为周期最长的信号。其次,注意设置示波器触发方式,在递增计数时,选择“下降触发”,在递减计数时,选择“上升触发”。

2、书到用时方恨少,事非经过不知难。实验课的效率和理论课的扎实水平是正相关的,在实验中遇到问题时,如果理论课扎实,很快就能排除问题,如果理论课不扎实,就会不知从何入手排查。课本上的永远是最简单的,不经过实践,不能对知识形成强烈的印象。课本上的几根毫不在意的导线,可能就是葬送自己实验的“陷阱”。

3、这个实验横跨五一,时间跨度可谓很长了。只记得自己还有一个模四的实验没有验收,模三的实验还是比较简单的。至于为什么在五一之前没有把模四的实验验收掉的原因现在看来,估计是当时哪里的一根线连错了,直接导致了Z 的输出波形和参考的波形相差了四分之一个周期,再加上时间也不是很长了,于是就有了下次实验再验收的想法,现在看来,还是有点错误的。五一之后的实验一样的不好做,之前的实验又没有验收,学习的压力也逐渐大了起来,感觉自己也比较吃力的,实验还是要好好做的。老师的话也是为我们好的。理论与实践的相互结合,其学习的效果是非常大的。以后对每个实验都应该尽全力做好。

RS触发器及其应用

RS 触发器及其应用 触发器(flip flop)是构成时序逻辑电路的基本单元,能记忆、存储一位二进制信息,触发器也称双稳态触发器,它有两种稳定输出工作状态,即分别输出1和输出0的状态。在无输入信号作用时,这种状态是稳定的;而当输入信号到来并满足一定逻辑关系时,输出端的状态将迅速变化,能从一种稳定状态转换到另一种稳定状态。 测试如下电路,调整S1开关状态,观察LED1和LED2的变化,并建立真值表。 图8.1测试电路(multisim) 【信息单】 一、基本RS 触发器 1.“与非”门构成的基本触发器 基本的RS 触发器又称为置0置1触发器。它是各种触发器中结构最简单的一种,通常作为构成各种功能触发器的最基本单元,所以也称为基本触发器。 ⑴电路结构 基本的RS 触发器由两个与非门的输入端与输出端交叉连接而成。电路结构如图8.3(a )所示,逻辑符号如图8.3(b )所示。图中Q 、Q 是基本RS 触发器两个输出端;S 、R 是两个输入端,S 、R 上的“非”号或R 、S 上的小圆圈都表示输入信号只在低电平时有效。 Q 端状态通常定义为触发器的输出状态。当0=Q 、Q =1,称触发器为0状态,当1=Q 、 Q =0,称触发器为1状态。Q 、Q 状态相反。 Q G 1G 2 Q S R Q Q

(a )电路结构 (b )逻辑符号 图8.3 与非门构成的基本RS 触发器 ⑵逻辑功能 S =1、R =0时,Q =1,反馈到G 1门使0=Q ,即不论触发器原态是0态还是1态, 电路的输出一定为0态,R 为置0端。 S =0、R =1时,Q =1,反馈到G 2门使Q =0,即不论触发器原态是0态还是1态,电 路的输出一定为1态,S 为置1端。 S =1、R =1时,设电路原来状态为0=Q 、Q =1,在S =1、R =1作用下,电路的输 出仍是0=Q 、Q =1与原态相同,即触发器的状态保持不变。 S =0、R =0时,Q =1、Q =1,破坏了输出信号互补的原则,而随后S =1、R =1时, 输出状态可能是1也可能是0,出现了不定状态,这意味着当输入条件同时消失后,触发器状态不定,这在触发器工作时是不允许出现的,也就是要禁止S 、R 同时为0的输入状态出现。 (3)逻辑功能描述 触发器的逻辑功能可用功能表、特征方程、时序图、状态图等方法描述。 ①功能表(特性表) 与非门构成的基本RS 触发器的功能表如表8.1所示。 表8.1 与非门构成的基本RS 触发器的功能表 ②波形图 设初始状态Q 为0,然后根据给定的输入信号波形,画出相应输出端Q 、Q 的波形,称为波形图。

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

基本门电路实验报告处理

43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016.10.7 专业班级: 姓 名: 学 号: 一、 实验目的 1.了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2.掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

A B F 三、实验原理图 图3-2与门电路 图3-3 异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) (2)半加器实验结果 (3) 表决电路结果 =1A B F

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

基本门电路及触发器 电子版实验报告

J CP K S D R D Q Q S D R D D CP Q Q 43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 专业班级: 姓 名: 学 号: 一、 实验目的 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

&A B &F 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) 输入 与门 异或门 A B F U o (V ) F 0 0 0 1 1 0 1 1 (2)半加器实验结果 (3) 表决电路结果 A n B n n S ' n C ' 0 0 0 1 1 0 1 1 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 =1A B F

电工资格证考试触发器及其应用练习题集锦附参考答案解析【精品】

触发器及其应用 习题参考答案 一、填空题: 1.时序逻辑电路的特点是:输出不仅取决于当时 输入 的状态 还与电路 原来 的状态有关。 2.欲使JK 触发器实现的功能,则输入端J 应接 “1” , K 应接 “1” 。 3.组合逻辑电路的基本单元是 门电路 ,时序逻辑电路的基本 单元是 触发器 。 4.两个与非门构成的基本RS 触发器的功能有 置0 、 置1 和 保持 。电路中不允许两个输入端同时为 0 ,否则将出现逻辑混乱。 5.钟控RS 触发器具有“空翻”现象,且属于 电平 触发方式 的触发器;为抑制“空翻”,人们研制出了 边沿 触发方式的JK 触发器和D 触发器。 6.JK 触发器具有 保持 、 翻转 、 置0 和 置1 的功能。 7.D 触发器具有 置0 和 置1 的功能。 二、选择题: 1.描述时序逻辑电路功能的两个重要方程式是( B )。 A 、 状态方程和输出方程 B 、状态方程和驱动方程 C 、 驱动方程和特性方程 D 、驱动方程和输出方程 2.由与非门组成的RS 触发器不允许输入的变量组合为 ( D )。 A 、00 B 、 01 C 、 10 D 、 11 3. 双稳态触发器的类型有( D ) A 、基本RS 触发器; B 、同步RS 触发器; C 、主从式触发 器; D 、前三种都有。 4. 存在空翻问题的触发器是( B ) A 、D 触发器; B 、同步RS 触发器; C 、主从JK 触发 器。 三、简述题 1、时序逻辑电路和组合逻辑电路的区别有哪些? 答:主要区别有两点:时序逻辑电路的基本单元是触发器,组 合逻辑电路的基本单元是门电路;时序逻辑电路的输出只与现时输入有关,不具有记忆性,组合逻辑电路的输出不仅和现时输入有关,还和现时状态有关,即具有记忆性。 2、何谓“空翻”现象?抑制“空翻”可采取什么措施? n n Q Q =+1R S ?

D触发器原理-D触发器电路图

边沿D 触发器: 负跳沿触发的主从触发器工作时,在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。电路结构: 该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。 D触发器工作原理: SD 和RD 接至基本RS 触发器的输入端,分别是预置和清零端,低电平有效。当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。工作过程如下: 1.CP=0时,与非门G3和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。 2.当CP由0变1时触发器翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基本RS触发器的逻辑功能可知,Q=D。 3.触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3和G6封锁,D端通往基本RS触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器常称为维持-阻塞触发器。总之,该触发器是在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁,三步都是在正跳沿后完成,所以有边沿触发器之称。与主从触发器相比,同工艺的边沿触发器有更强的抗干扰能力和更高的工作速度。功能描述

东北大学电子实验三基本门电路及触发器

实验三:基本门电路及触发器 实 验 室:信息学馆347 实验台号: 27 日 期: 专业班级: 机械130班 姓 名: 学 号: 2013309 一、 实验目的 1.了解TTL 门电路的原理、性能和使用方法,验证基本门电路逻辑功能。 2. 掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='

&A B & F J CP K S D R D Q Q S D R D D CP Q Q 431215 5 6 42315 6 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 =1 A B F

触发器及其应用

实验二触发器及其应用 一、实验目的 1.熟悉触发器的构成及工作原理; 2.掌握触发器的逻辑功能测试方法; 3.掌握触发器之间相互转换方法及实际应用。 二、实验原理 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。 1.基本RS触发器 图1为由二个与非门交叉藕合构成的基本RS触发器。基本RS触发器具有置"0"、置"1"和"保持"三种功能。通常称为置"1"端,因为 =0时触发器被置"1";为置"0"端,因为 =0时触发器被置"0",当 = =1时状态保持。基本RS触发器也可以用二个"或非门"组成,此时为高电平触发器。 图1基本RS触发器 2.D触发器 D触发器的状态方程为:Qn+1=D。其状态的更新发生在CP脉冲的边沿,74LS74等均为上升沿触发,故又称之为上升沿触发器的边沿触发器,触发器的状态只取决于时针到来前D端的状态。如下: 图2 双D触发器图3 D触发器逻辑符号

三、实验仪器与器件 数字电路实验箱示波器信号发生器 74LS00 74LS74 四、实验内容及步骤 1、两个TTL与非门相接构成基本RSFF,按下表的顺序在输入端加信号,观察并记录FF的Q 端的状态,将结果填入表中,并说明在各种输入状态下FF的功能。 2、用D触发器构成一个二分频器,并用示波器记录输入输出波形,参考电路如下图所示。 3、用EWB软件仿真一个由触发器构成的二倍频器,参考电路如下图所示。 五、实验结果 (要求记录实验结果,并与理论值对比分析)

555触发器及其应用

实验八 555定时器及其应用 一、实验目的 1.熟悉集成555定时器的特性参数和使用方法。 2.掌握使用555定时器组成施密特触发器的方法 3.掌握使用555定时器组成单稳态触发器的方法,定时元件RC对脉冲宽度的影响。 4.掌握使用555定时器组成自激多谐振荡器的方法和定时元件RC对振荡周期和脉冲宽度的影响。 二、实验器材 1.数字电路实验箱1台 2.示波器 1 台 3.万用表 1 只 4.集成电路:555定时器 1 只 5.元器件:电阻、电容若干只 三、实验原理和电路 1.器件特性 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 集成555定时器有双极性型和CMOS型两种产品。一般双极性型产品型号的最后三位数都

120 是555,CMOS 型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V ,最大输出电流200mA 以内,并能与TTL 、CMOS 逻辑电平相兼容。其主要参数见表8.1。 555定时器的内部电路框图及逻辑符号和管脚排列分别如图8.1和图8.2所示。 引脚功能: V i1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。 V i2(TR ):低电平触发端,简称低触发端,标志为TR 。 V CO :控制电压端。 V O :输出端。 Dis :放电端。 Rd :复位端。 555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生31V CC 和32V CC 两个基准电压;两个电压比较器C 1、C 2;一个由与非门G 1、G 2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G 3。 Rd 是复位端,低电平有效。复位后, 基本RS 触发器的Q 端为1(高电平),经反相缓冲器后,输出为0(低电平)。 分析图8.1的电路:在555定时器的V CC 端和地之间加上电压,并让V CO 悬空,则 比较器C 1的同相输入端接参考电压32V CC ,比较器C 2反相输入端接参考电压31V CC ,为了学习方便,我们规定: . (a) 555的逻辑符号 (b) 555的引脚排列 图8.2 555定时器逻辑符 号和引脚 图8.1 555定时器内部结构 Vi1(TH) Vi2 Vco ..

D触发器的设计

目录 第一章绪论0 简介0 集成电路0 版图设计1 软件介绍1 标准单元版图设计1 标准单元版图设计的概念1 标准单元版图设计的历史1 标准单元的版图设计的优点2 标准单元的版图设计的特点2 第二章D触发器的介绍 2 简介2 维持阻塞式边沿D触发器3 电路工作过程3 状态转换图和时序图3 同步D触发器3 电路结构3 逻辑功能4 真单相时钟(TSPC)动态D触发器4 第三章工艺基于TSPC原理的D触发器设计5 电路图的设计5 创建库与视图5 基于TSPC原理的D触发器电路原理图5 创建D触发器版图6 设计步骤6 器件规格7 设计规则的验证及结果8 第四章课程设计总结9 参考文献 9 第一章绪论 简介 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采

用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。软件介绍 目前大部分IC 公司采用的是UNIX 系统,使用版本是SunSolaris。版图设计软件通常为Cadence ,它是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB 设计。软件操作界面人性化,使用方便,安全可靠,但价格较昂贵。 标准单元版图设计 标准单元版图设计的概念 标准单元,也叫宏单元。它先将电路设计中可能会遇到的所有基本逻辑单元的版图, 按照最佳设计的一定的外形尺寸要求, 精心绘制好并存入单元库中。实际设计ASIC电路时, 只需从单元库中调出所要的元件版图, 再按照一定的拼接规则拼接, 留出规则而宽度可调的布线通道, 即可顺利地完成整个版图的设计工作了。 基本逻辑单元的逻辑功能不同, 其版图面积也不可能是一样大小的。但这些单元版图的设计必须满足一个约束条件, 这就是在某一个方向上它们的尺寸必须是完全一致的, 比如说它们可以宽窄不一, 但它们的高度却必须是完全相等的,这就是所谓的“等高不等宽”原则。这一原则是标准单元设计法得以实施的根本保证。 标准单元版图设计的历史 随着集成电路产业迅猛的发展,工艺水平不断提高,集成电路特征尺寸循着摩尔定律不断缩小。设计芯片时需要考虑的因素越来越多,芯片设计的复杂程度也越来越高。因而尽可能复用一些已经通过工艺验证的IP核可以提高设计的效率,降低芯片设计的成本。

03实验十九 集成触发器及其应用电路设计

实验03 实验十九 集成触发器及其应用电路设计 (说明:CC4027 R D 、S D 接低电平) 1、验证JK 触发器逻辑功能 (1)特性方程 n n n Q K Q J Q +=+1 (2)功能表(特性表) (3)器件原理图 (4)状态图 2、JK 触发器转换T 和D 触发器 (1)JK 触发器转换T 触发器 a 逻辑图 b 状态图 c 特性方程 n n n Q K Q J Q +=+1 n n n Q T Q T Q +=+1 (J =K =T ) d T 触发器特性表、转换图 JK 触发器特性表 J K ==× =0 J =1 K =1 T 触发器特性表 J K ==× =0 J =1 K =1 JK 状态图 T =0 T =1 T 状态图

(2)JK 触发器转换D 触发器 a 逻辑图 b 状态图 c 特性方程 n n n Q K Q J Q +=+1 D Q n =+1 (D J = D K =) d T 触发器特性表、转换图 3、JK 触发器组成二分频、四分频电路 (1)JK 触发器的特性方程、逻辑功能表 n n n Q K Q J Q +=+1 (2)电路连接原理(逻辑)图、逻辑功能表 D 触发器逻辑图 D 触发器状态图 D =1 D 触发器特性表 JK 触发器(功能)特性表 电路功能表

(3)逻辑函数式、状态图、波形图 a 逻辑函数式 n n n n Q Q K Q J Q 000001 =+=+ (J 0=K 0=1) n n n n n n n Q Q Q Q Q K Q J Q 101011111 1 +=+=+ b 状态图 c 波形图 4、同步3分频电路 (1)JK 触发器的特性方程、逻辑功能表 n n n Q K Q J Q +=+1 (2)状态表、状态图 J 0(K 0) Q 0n+1 CP =1kH Z Q 1n+1 J 1=K 1(Q 0) J 0=K 0J =K =1 J 0=K 0=1 J 0=K 0=0 J 1=K 1J 1=K 1=1 J 1=K 1=0 JK 触发器(功能)特性表 × 0 1 × 1 × × 1 × 1 × 0 3 0 1 0 1 1 0 0 1 0 0 0 Q 1n 年 0 1 1 2 × × × 1 × Q 0n 年 Q 1n+1年 Q 0n+1年 J 1 年 K 1 J 0 年 K 0 年 同步3分频电路状态真值表

触发器及其应用

实验四触发器及其应用 一:实验目的 1.掌握基本RS。JK。D和T触发器的逻辑功能 2.掌握集成触发器的逻辑功能及使用方法 3.熟悉触发器之间互相转化的方法 二:实验原理: 触发器具有两个稳定状态。用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元 1.基本RS触发器 图8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”。置“1”和保持三种功能。通常称为置“1”端,因为=0(=1)时触发器被置“1”;为置“0”端,因为=1(=0)时触发器被置“0”,但==1时状态保持;==0时,触发器状态不稳定,应避免此种情况发生,表9-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表8-1: 图8-1 基本RS触发器 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 2.JK触发器 在输入信号为双端的情况下,JK触发器是功能完善.使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿除法的边沿触发器。引脚功能和逻辑符号如图8-2所示。 JK触发器的状态方程为 J和K是数据输入端是触发器状态更新的依据,若J。K有两个或两个以上输入端时,组成“与”的关系。Q和为两个互补输出端。通常把Q=0,=1的状态顶为触发器“0”状态;而把Q=1,=0定为“1”状态。

16 15 14 13 12 11 10 9 图8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能表如8-2所示表8-2 输入输出 CP J K 0 1 ××× 1 0 1 0 ×××0 1 0 0 ××× 1 1 ↓0 0 1 1 ↓ 1 0 1 0 1 1 ↓0 1 0 1 1 1 ↓ 1 1 1 1 ↑×× 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 ()—现态()—次态¢—不定态 JK触发器常被用作缓冲存储器,位移寄存器和计数器 3.D触发器 在输入信号为单端的情况下,D触发器用来最为方便,其状态方程为=,其输出 状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只 取决于时钟到来前D端的状态,D触发器的应用很广,可用作数信号的寄存,位移寄存,分 频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D74LS74。四D74LS175, 六D74LS174等 图8-3为双D74LS74的引脚排列及逻辑符号。功能表如表8-3。 图8-3 74LS74引脚排列及逻辑符合

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进 制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称 为置“1”段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0 (=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器 在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。

图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

数字电路实验报告集成触发器及应用

姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx . 学院:计算机与电子信息学院专业:计算机类. 班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日. 指导教师:xxxxxxxx . 实验名称:集成触发器及应 用. 一、实验目的 1、掌握RS、JK、D触发器的基本逻辑功能测试方法; 2、掌握时序电路的设计; 二、实验原理 触发器是构成时序电路的基本逻辑单元。它具有两个稳定状态,即“0”状态和“1”状态。只有在触发信号作用下,才能从原来的稳定状态转变为新的稳定状态。因此触发器是一种具有记忆功能的电路,可作为二进制存储单元使用。 触发器种类很多,按其功能可分为基本RS触发器、JK触发器、D触发器和T触发器等;按电路的触发方式又可分为电位触发器型、主从型、维阻型、边沿触发器型等。 基本RS触发器是各种触发器中最基本的组成部分,它能存贮一位二进制信息,但有一定约束条件。例如用与非门组成的RS触发器的R'、S'不能同时为“0”,否则当R’、S’端的“0”电平同时撤销后,触发器的状态不定。因此只R'=S'=0的情况不允许出现,也就是RS=0约束条件。 基本RS触发器的用途之一是作无抖动开关。例如在图4-1所示的电路中,当开关S 接通时,由于机械开关在扳动的过程中,存在接触抖动,使得F点电压从+5V直接跃降到0V一瞬间(几十毫秒),会发生多次电压抖动,相当产生连续多个脉冲信号。如果利用这种电路产生的信号去驱动数字电路,则可能导致电路发生误动作。

图4-1 这在某些场合是绝对不允许的,为了消除机械开关的抖动,可在开关S与输入端A 之间接入一个RS触发器(见图4-2所示),就能使F端产生很清晰的阶跃信号。那么这种带RS触发器的开关通常称为无抖动开关(或称为逻辑开关)。而把有抖动的开关称为数据开关。 图4-2 TTL集成触发器主要有三种类型:锁存器、D触发器和JK触发器。锁存器是电位型触发器。由于它存在“空翻”,不能用于计数器和移位寄存器,只能用于信息寄存器。维阻D触发器,克服了“空翻”现象,所以称作维阻型触发器。 主从型触发器,虽然克服了“空翻”,但存在一次变化问题,即在CP=1期间,J、K 端若有干扰信号,触发器可能产生误动作,这就降低了它的抗干扰能力,因而使用范围受到一定的限制。边沿触发型JK触发器抗干扰性能较好,故应用广泛。 图4-3是集成JK、D触发器的逻辑符号。图中RD为复位输入端,SD为置位输入端,端旁的小圆圈表示低电平驱动。当SD和RD端有加“0”信号驱动时,触发器的状态不受CP及控制输入端所处状态的影响。CP为时钟输入端,在SD=RD=1时,只有在CP 脉冲的作用时才使触发器状态更新。CP端有小圆圈,表示该触发器在CP产脉冲的负沿时翻转。CP端没有小圆圈,表示该触发器在CP脉冲的正沿时翻转。在部分国外的触发器符号中,CP端的小圆圈上加有尖角标志,表示该触发器是负沿触发器的边沿触发器,如图4-3(C)所示。J、D、K为触发器的控制信号输入端,它们是触发器更新状态的数据。若J、K、D有两个或两个以上的输入端时,就将这些端子画成与门的形式,如图4.3(a)、(b)中所示。Q和Q’为两个互补输出端,通常把Q=1,Q’=0的状态,定为触发器的1状态,而把Q=0,Q’=1的状态定为触发器的0状态。

D触发器的使用

实验3 D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱; 2、数字双踪示波器; 3、函数信号发生器; 4、集成电路:74LS00; 5、集成电路:74LS74; 三、实验内容 1、用74LS74 (1片)构成二分频器、四分频器,并用示波器观察波形; 简单介绍分析: (1) 74LS74:双D触发器(上升沿触发的边沿D触发器) D触发器在时钟脉冲CP的前沿(正跳变0宀1)发生翻转,触发器的次态取决于CP脉冲上升沿到来之前D端的状态,即'=D O因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在 CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。/R D和/S D 分别是决定触发器初始状态的置0、置1端。当不需要强迫置0、置1时,/R D和/S D端都应置高电平。74LS74 (CC4013 ,74LS175 (CC4042等均为上升沿触发的边沿触发器。

(2) 74LS74引脚图:

(图 3-1 ) (3) 二分频器的连接线路原理图: 图(3-2) 实验步骤如下: a. 按照上面的连线原理图(3-2)在实验板上连好线; b. 打开电源开关; c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的 波形。 (4) 四分频器的连接线路原理图: U1A ID -1FP -1CLR T" Output "0

图(3-3) 实验步骤如下: a. 按照上面的连线原理图(3-3)在实验板上连好线; b. 打开电源开关; c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的 波形。 2、实现如图所示时序脉冲 (74LS74和74LS00各1片) CP 图(3-4) 简单介绍分析: (1)逻辑分配: Q ; Q n Vo Q n V Q n V I F 0 0 0 1 0 0 1 1 1 0 1 1 1 74L574O 1* U1B ____ 5 ii 1 > i€LK TQ CP * * Ouipul

实验四 触发器及其应用

实验四触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1.基本RS触发器 图4-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此种情况发生。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 2.JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性

较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图4-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。Q与Q为两个互补输出端。通常把 Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图4-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表4-2 表4-2 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变Q n(Q n)—现态 Q n+1(Q n+1 )—次态φ—不定态

施密特触发器及其应用

一、实验目的 进一步掌握施密特触发器的原理和特点,熟悉和了解由施密特触发器构成的部分应用电路,学会正确使用TTL,CMOS集成的施密特触发器。 二、实验内容 1.具有施密特性的门电路特性测试 (1)74LS132芯片的特性测试 图 20.1所示为74LS132芯片的原理电路和逻辑符号图。 图20.1 用实验法测出芯片的电压传输特性曲线。并标出V T+,V T-,ΔV T等值。 参照给定的原理电路图,说明V T+,V T-,·ΔV T等值和理论分析值是否一致? 理论分析时,可假设肖特基三极管的V BES≈0.8V,V CES≈0.3V,肖特基二极管的正向导通压降V D≈0.4V。 (2)CMOS CD40106特性测试 图20.2所示为CD40106芯片的原理电路的逻辑符号图。 令V DD=+5V,测出CD40106的V T+,V T-·ΔV T值,画出相应的电压传输特性曲线。 改变V DD值,使之分别为+10V,-15V,重复上述内容。

2.施密性触发器的应用。 (1)多谐振荡器 按图20.3所示电路接线,V DD=-5V。 (b) (a) 图20.3 用示波器观察图(a),图(b)电路输出端Vo的波形。 选择电容C,使图(a)中Vo的频率f=100KHZ~150KHZ。 选取图(b)电路中的电容C,令其分别为100PE和1μF,测出Vo端振荡波形的相应的频率。 (2)压控振荡器 按图20.4所示电路接线V DD=+5V 信号V1的变化范围为2.5~5.0V

用示波器观察并记录Vo端的波形。 当V1取值分别为:2.5V、3V、3.5V、4.0V、4.5V、5V时测出Vo端波形相应的频率f。观察电路中元件参数的大小(如电阻R、电容C)和f有何关系? 观察与非门的VT施密特触发器的V T+、V T-和f有何关系? 三、思考题 1.施密特触发器电路的特点是什么?(图20.1) 所示的原理电路是由哪几部分构成的?各部分的作用是什么? 2.CMOS施密特触发器的V DD值的大小和芯片的V T+、V T-、ΔV T参数有何关系?3.改变图20.1图(b)电路的V DD值时,Vo端的振荡频率是否会跟着变化?怎样变化? 四、实验仪器及材料 1.仪器:示波器 2.材料:CMOS 芯片 CD40106 具有施密特触发 特性的反相器 1片 CD4009 六缓冲器/转换器(反相) 1片 TTL 芯片 74LS132 具有施密特触发性的与非门 1片

相关文档
最新文档