HSPICE简明教程(复旦大学)

HSPICE简明教程(复旦大学)
HSPICE简明教程(复旦大学)

hspice仿真整理

§电路级和行为级仿真 §直流特性分析、灵敏度分析 §交流特性分析 §瞬态分析 §电路优化(优化元件参数) §温度特性分析 §噪声分析 例(Hspicenetlist for the RC network circuit): .title A SIMPLE AC RUN .OPTIONS LIST NODE POST .OP .AC DEC 10 1K 1MEG .PRINT AC V(1) V(2) I(R2) I(C1) V1 1 0 10 AC 1 R1 1 2 1K R2 2 0 1K C1 2 0 .001U .END 输出文件:一系列文本文件 ?*.ic:initial conditions for the circuit ?*.lis:text simulation output listing ?*.mt0,*.mt1…:post-processor output for MEASURE statements ?*.pa0 :subcircuit path table ?*.st0 :run-time statistics ?*.tr0 ,*.tr1…:post-processor output for transient analysis ?*.ac0,*.ac1…: post-processor output for AC analysis .TITLE 语句 .TITLE 或者: 如果是第二种形式,字符串应该是输入文件的首行;如果一个HSPICE语句出现在文件的首行,则它将被认为是标题而不被执行。 .END 语句 形式:.END 在.END语句之后的文本将被当作注释而对模拟没有影响。 分隔符 ?包括:tab键,空格,逗号,等号,括号 ?元件的属性由冒号分隔,例如M1:beta ?级别由句号指示,例如X1.A1.B 表示电路X1的子电路A1的节点B 常量 ?M-毫,p-皮,n-纳,u-微,MEG-兆,

Hspice 简明手册

Hspice简明手册 Hspice简明手册 Hspice是一个模拟电路仿真软件,在给定电路结构和元器件参数的条件下,它可以模拟和 计算电路的各种性能。用Hspice分析一个电路,首先要做到以下三点: (1)给定电路的结构(也就是电路连接关系)和元器件参数(指定元器件的参数库); (2)确定分析电路特性所需的分析内容和分析类型(也就是加入激励源和设置分析类 型); (3)定义电路的输出信息和变量。 Hspice规定了一系列输入,输出语句,用这些语句对电路仿真的标题,电路连接方式,组 成电路元器件的名称,参数,模型,以及分析类型,以及输出变量等进行描述。 一Hspice输入文件的语句和格式 Hspice输入文件包括电路标题语句,电路描述语句,分析类型描述语句,输出描述语句, 注释语句,结束语句等六部分构成,以下逐一介绍:

1 电路的标题语句 电路的标题语句是输入文件的第一行,也成为标题行,必须设置。它是由任意字母和字 符串组成的说明语句,它在Hspice的title框中显示。 2 电路描述语句 电路描述语句由定义电路拓扑结构和元器件参数的元器件描述语句,模型描述语句和电 源语句等组成,其位置可以在标题语句和结束语句之间的任何地方。(1)电路元器件 Hspice要求电路元器件名称必须以规定的字母开头,其后可以是任意数字或字母。除 了名称之外,还应指定该元器件所接节点编号和元件值。 电阻,电容,电感等无源元件描述方式如下: R1 1 2 10k (表示节点1 与2 间有电阻R1,阻值为10k 欧) C1 1 2 1pf (表示节点1 与2 间有电容C1,电容值为1pf) L1 1 2 1mh (表示节点1 与2 间有电感L1,电感值为1mh) 半导体器件包括二极管,双极性晶体管,结形场效应晶体管,MOS 场效应晶体管等, 这些半导体器件的特性方程通常是非线性的,故也成为非线性有源元件。在电路CAD工具 进行电路仿真时,需要用等效的数学模型来描述这些器件。 (a)二极管描述语句如下:

TSPC锁存器的设计与HSPICE仿真

IC课程设计报告 题目TSPC锁存器的设计与HSPICE仿真学院 专业 班级 学生姓名 日期

指导教师(签字) HSPICE简介 SPICE(Simulator Program with Integrated Circuit Emphasis,以集成电路为重点的模拟程序)模拟器最初于20世纪70年代在berkeley开发完成,能够求解描述晶体管、电阻、电容以及电压源等分量的非线性微分方程。SPICE 模拟器提供了许多对电路进行分析的方法,但是数字VLSI电路设计者的主要兴趣却只集中在直流分析(DC analysis)和瞬态分析(transient analysis)两种方法上,这两种分析方法能够在输入固定或实时变化的情况下对节点的电压进行预测。SPICE程序最初是使用FORTRAN语言编写的,所以SPICE就有其自身的一些相关特点,尤其是在文件格式方面与FORTRAN有很多相似之处。现在,大多数平台都可以得到免费的SPICE版本,但是,往往只有商业版本的SPICE 才就有更强的数值收敛性。尤其是HSPICE,其在工业领域的应用非常广泛,就是因为其具有很好的收敛性,能够支持最新的器件以及互连模型,同事还提供了大量的增强功能来评估和优化电路。PSPICE也是一个商业版本,但是其有面向学生的限制性免费版本。本章所有实例使用的都是HSPICE,这些实例在平台版本的SPICE中可能不能正常运行。 虽然各种SPICE模拟器的细节随着版本和操作平台的不同而各不相同,但是所有版本的SPICE都是这样工作的:读入一个输入文件,生产一个包括模拟结果、警告信息和错误信息的列表文件。因为以前输入文件经常是以打孔卡片盒的方式提供给主机的,所以人们常常称输入文件为SPICE“卡片盒(deck)”,输入文件中的每一行都是一张“卡片”。输入文件包含一个由各种组件和节点组成的网表。当然输入文件也包含了一些模拟选项、分析指令以及器件模型。网吧可以通过手工的方式输入,也可以从电路图或者CAD工具的版图(layout)中提取。 一个好的SPICE“卡片盒”就好像是一段好的软件代码,必须具有良好的可读性、可维护性以及可重用性。适当地插入一些注释和空白间隔有助于提高“卡片盒”的可读性。一般情况下,书写SPICE“卡片盒”的最好方法就是:先找一个功能完备、正确的“卡片盒”范例,然后在此基础上对其进行修改。

hspice语法手册

Hspice语法手册 天津大学电信学院 陈力颖

Preface 最初写作本文的目的是希望提供一份中文版的Hspice手册从而方便初学者的使用,本文的缘起是几位曾经一起工作过的同事分别进入不同的新公司,而公司主要是使用Hspice,对于已经熟悉了Cadence的GUI界面的使用者转而面对Hspice的文本格式,其难度是不言而喻的,而Hspice冗长的manual(长达2000页以上)更让人在短时间内理不出头绪。鉴于我曾经使用过相当一段时间的Hspice,于是我向他们提供了一份简单而明了的handbook来帮助他们学习,本来是准备借助一个具体运放的设计例子,逐步完善成为一份case by case的教程,但由于工作比较浩大,加之时间的关系,一直难以完成,愈拖愈久,在几个朋友的劝说下,与其等其日臻完善后再发布,不如先行发布在逐步完善,以便可以让更多的朋友及早使用收益。本文虽通过网络发表,但作者保留全部的著作权,转载时务请通知本人。由于水平的有限,讨论范围的局限及错误不可避免,恳请读者指正。联系方式为e-mail: nkchenliy@https://www.360docs.net/doc/fa7175239.html,。

目录 一、HSPICE基础知识 (2) 二、有源器件和分析类型 (3) 三、输出格式和子电路 (4) 四、控制语句和OPTION语句 (6) 五、仿真控制和收敛 (7) 六、输入语句 (8) 七、统计分析仿真 (9) 天津大学电信学院 陈力颖 2006年2月

一、HSPICE基础知识 Avant! Start-Hspice(现在属于Synopsys公司)是IC设计中最常使用的电路仿真工 具,是目前业界使用最为广泛的IC设计工具,甚至可以说是事实上的标准。目前,一 般书籍都采用Level 2的MOS Model进行计算和估算,与Foundry经常提供的Level 49 和Mos 9、EKV等Library不同,而以上Model要比Level 2的Model复杂的多,因此 Designer除利用Level 2的Model进行电路的估算以外,还一定要使用电路仿真软件 Hspice、Spectre等进行仿真,以便得到精确的结果。 本文将从最基本的设计和使用开始,逐步带领读者熟悉Hspice的使用,以便建立   IC设计的基本概念。文章还将对Hspice的收敛性做深入细致的讨论。 Hspice输入网表文件为.sp文件,模型和库文件为.inc和.lib,Hspice输出文件有运 行状态文件.st0、输出列表文件.lis、瞬态分析文件.tr#、直流分析文件.sw#、交流分析 文件.ac#、测量输出文件.m*#等。其中,所有的分析数据文件均可作为AvanWaves的 输入文件用来显示波形。 表1 Hspice所使用的单位 单位缩写含义 F(f) 1e-15 P(p) 1e-12 N(n) 1e-10 U(u) 1e-06 M(m) 1e-03 K(k) 1e+03 Meg(meg) 1e+06 G(g) 1e+09 T(t) 1e+12 DB(db) 20log10 注:Hspice单位不区分大小写 独立电压和电流源包括: 1. 直流源(DC):

(完整版)HSPICE与CADENCE仿真规范与实例..

电路模拟实验专题 实验文档

一、简介 本实验专题基于SPICE(Simulation Program With Integrated Circuit)仿真模拟,讲授电路模拟的方法和spice仿真工具的使用。 SPICE仿真器有很多版本,比如商用的PSPICE、HSPICE、SPECTRE、ELDO,免费版本的WinSPICE,Spice OPUS等等,其中HSPICE和SPECTRE功能更为强大,在集成电路设计中使用得更为广泛。因此本实验专题以HSPICE和SPECTRE作为主要的仿真工具,进行电路模拟方法和技巧的训练。 参加本实验专题的人员应具备集成电路设计基础、器件模型等相关知识。 二、Spice基本知识(2) 无论哪种spice仿真器,使用的spice语法或语句是一致的或相似的,差别只是在于形式上的不同而已,基本的原理和框架是一致的。因此这里简单介绍一下spice的基本框架,详细的spice语法可参照相关的spice教材或相应仿真器的说明文档。 首先看一个简单的例子,采用spice模拟MOS管的输出特性,对一个NMOS管进行输入输出特性直流扫描。V GS从1V变化到3V,步长为0.5V;V DS从0V变化到5V,步长为0.2V;输出以V GS为参量、I D与V DS之间关系波形图。 *Output Characteristics for NMOS M1 2 1 0 0 MNMOS w=5u l=1.0u VGS 1 0 1.0 VDS 2 0 5 .op .dc vds 0 5 .2 Vgs 1 3 0.5 .plot dc -I(vds) .probe *model .MODEL MNMOS NMOS VTO=0.7 KP=110U +LAMBDA=0.04 GAMMA=0.4 PHI=0.7 .end 描述的仿真电路如下图,

OrCAD PSpice简明教程

PSPICE简明教程 宾西法尼亚大学电气与系统工程系 University of Pennsylvania Department of Electrical and Systems Engineering 编译:陈拓 2009年8月4日 原文作者: Jan Van der Spiegel, ?2006 jan_at_https://www.360docs.net/doc/fa7175239.html, Updated March 19, 2006 目录 1. 介绍 2. 带OrCAD Capture的Pspice用法 2.1 第一步:在Capture 中创建电路 2.2 第二步:指定分析和仿真类型 偏置或直流分析(BIAS or DC analysis) 直流扫描仿真(DC Sweep simulation) 2.3 第三步:显示仿真结果 2.4 其他分析类型: 2.4.1瞬态分析(Transient Analysis) 2.4.2 交流扫描分析(AC Sweep Analysis) 3. 附加的使用Pspice电路的例子 3.1变压器电路 3.2 使用理想运算放大器的滤波器交流扫描(滤波器电路) 3.3 使用实际运算放大器的滤波器交流扫描(滤波器电路) 3.4 整流电路(峰值检波器)和参量扫描的使用 3.4.1 峰值检波器仿真(Peak Detector simulation) 3.4.2 参量扫描(Parametric Sweep) 3.5 AM 调制信号 3.6 中心抽头变压器 4. 添加和创建库:模型和元件符号文件 4.1 使用和添加厂商库 4.2 从一个已经存在的Pspice模型文件创建Pspice符号 4.3 创建你自己的Pspice模型文件和符号元件 参考书目

hspiceD使用手册

hspiceD使用手册 一、HSPICE基础知识 (2) 二、HSPICED的使用 (3) 1.选择仿真环境 (3) 2.确定model库 (3) 3.加载激励 (5) 4.Choose Analyses (8) 三、HSPICED的注意事项 (9) 1.HSPICES的state用于HSPICED需注意 (9) 2.HSPICE仿真速度快造成卡机的问题 (10)

一、HSPICE基础知识 Avant!Start-Hspice现在是Synopsys公司的电路仿真工具,是目前业界使用最广泛的IC设计工具,甚至可以说是标准。 hspice和Spectre这两种仿真器每种都有两个接口,就是hspiceD 和hspiceS(hspice Direct,和hspice Socket),以及spectre和spectreS(Spectre Direct,和spectre Socket)。 "Socket"接口是仿真器的一个比较老的接口。因为在过去,很多仿真器没有强大的参数化语言,所以Cadence工具所做的就是使用cdsSpice (这个工具有强大的宏语语言,但实际上是一个比较脆弱的仿真器)来充当仿真器。所有的网表都用cdsSpice的宏语言生成,然后再翻译成目标仿真器的语言——不保留任何参数化的东西。这种方法是可行的,但是我们没有办法使用主流仿真器的所有特征。 大约1999年,以IC443为例,引入了"direct"接口的概念,我们就去掉了中间手段而直接用相应的语言生成网表。这样更快,更有效,并且给出了更强大的读取主流仿真器的接口。"Direct"接口的仿真工具输出的网表可读性更好,可以在只读模式下仿真,能够执行更高级的运算等等,所以在两大EDA工具提供商的仿真器中,hspiceD和spectre是优选。 我们根据书籍对电路的计算和估算都采用Level 2的MOS Model,与实际的Level 49和Mos9 、EKV等Liabrary不同,这些model要比Level 2的Model复杂得多,因此Designer使用Hspice、Spectre

Hspice(中文实用版)

第一章概 论 §1.1 HSPICE简介 随着微电子技术的迅速发展以及集成电路规模不断提高,对电路性能的设计要求越来越严格,这势必对用于大规模集成电路设计的EDA工具提出越来越高的要求。自1972年美国加利福尼亚大学柏克莱分校电机工程和计算机科学系开发的用于集成电路性能分析的电路模拟程序SPICE (Simulation Program with ICEmphasis)诞生以来,为适应现代微电子工业的发展,各种用于集成电路设计的电路模拟分析工具不断涌现。HSPICE是MetaSoftware公司为集成电路设计中的稳态分析,瞬态分析和频域分析等电路性能的模拟分析而开发的一个商业化通用电路模拟程序,它在柏克莱的SPICE(1972年推出),MicroSim公司的PSPICE(1984年推出)以及其它电路分析软件的基础上,又加入了一些新的功能,经过不断的改进,目前已被许多公司、大学和研究开发机构广泛应用。HSPICE可与许多主要的EDA设计工具,诸如Candence,Workview等兼容,能提供许多重要的针对集成电路性能的电路仿真和设计结果。采用HSPICE软件可以在直流到高于100MHz的微波频率范围内对电路作精确的仿真、分析和优化。在实际应用中,HSPICE能提供关键性的电路模拟和设计方案,并且应用HSPICE进行电路模拟时,其电路规模仅取决于用户计算机的实际存储器容量。 §1.2 HSPICE的特点与结构 HSPICE除了具备绝大多数SPICE特性外,还具有许多新的特点,主要有: 优越的收敛性 精确的模型参数,包括许多Foundry模型参数 层次式节点命名和参考 基于模型和库单元的电路优化,逐项或同时进行AC,DC和瞬态分析中的优化 具备蒙特卡罗(Monte Carlo)和最坏情况(worst-case)分析 对于参数化单元的输入、出和行为代数化 具备较高级逻辑模拟标准库的单元特性描述工具 对于PCB、多芯片系统、封装以及IC技术中连线间的几何损耗加以模拟 在HSPICE中电路的分析类型及其内部建模情况如图1.2.1和图1.2.2所示:

TSPC锁存器的设计与HSPICE仿真设计

IC课程设计报告 题目 TSPC锁存器的设计与HSPICE仿真学院 专业 班级 学生姓名 日期 指导教师(签字)

HSPICE简介 SPICE(Simulator Program with Integrated Circuit Emphasis,以集成电路为重点的模拟程序)模拟器最初于20世纪70年代在berkeley开发完成,能够求解描述晶体管、电阻、电容以及电压源等分量的非线性微分方程。SPICE 模拟器提供了许多对电路进行分析的方法,但是数字VLSI电路设计者的主要兴趣却只集中在直流分析(DC analysis)和瞬态分析(transient analysis)两种方法上,这两种分析方法能够在输入固定或实时变化的情况下对节点的电压进行预测。SPICE程序最初是使用FORTRAN语言编写的,所以SPICE就有其自身的一些相关特点,尤其是在文件格式方面与FORTRAN有很多相似之处。现在,大多数平台都可以得到免费的SPICE版本,但是,往往只有商业版本的SPICE 才就有更强的数值收敛性。尤其是HSPICE,其在工业领域的应用非常广泛,就是因为其具有很好的收敛性,能够支持最新的器件以及互连模型,同事还提供了大量的增强功能来评估和优化电路。PSPICE也是一个商业版本,但是其有面向学生的限制性免费版本。本章所有实例使用的都是HSPICE,这些实例在平台版本的SPICE中可能不能正常运行。 虽然各种SPICE模拟器的细节随着版本和操作平台的不同而各不相同,但是所有版本的SPICE都是这样工作的:读入一个输入文件,生产一个包括模拟结果、警告信息和错误信息的列表文件。因为以前输入文件经常是以打孔卡片盒的方式提供给主机的,所以人们常常称输入文件为SPICE“卡片盒(deck)”,输入文件中的每一行都是一张“卡片”。输入文件包含一个由各种组件和节点组成的网表。当然输入文件也包含了一些模拟选项、分析指令以及器件模型。网吧可以通过手工的方式输入,也可以从电路图或者CAD工具的版图(layout)中提取。 一个好的SPICE“卡片盒”就好像是一段好的软件代码,必须具有良好的可读性、可维护性以及可重用性。适当地插入一些注释和空白间隔有助于提高“卡片盒”的可读性。一般情况下,书写SPICE“卡片盒”的最好方法就是:先找一个功能完备、正确的“卡片盒”范例,然后在此基础上对其进行修改。 二、要与要求 在两相时钟技术中,必须十分小心的对两个时钟信号进行布线以保证它们的

电路原理图设计及Hspice仿真

电路原理图设计及Hspice仿真 实验报告 学生姓名: 学号: 指导老师: 实验内容: 用EDP原理图设计软件设计出两级运算放大器的电路图 用Hspice软件完成此两级运算放大器的仿真 实验地点:***实验室 实验时间:2009年9月——2009年12月

实验任务: 根据运算放大器的设计要求(单位增益带宽、相位裕量、输入等效噪声、功耗等),选择电路结构,详细分析了CMOS 运算放大器的所有性能参数,使用Level one 模型进行手工计算,设计出器件的几何尺寸,最后通过Hspice 仿真软件给出了性能指标的仿真结果。 实验思路: 两级运放可以同时实现较高增益和较大输出摆幅,其设计思路是将增益和摆幅要求分别处理,而不是在同一级中兼顾增益与摆幅。即运用第一级放大器得到高增益,可以牺牲摆幅,第二级放大器主要实现大输出摆幅,以补偿第一级牺牲的摆幅,并进一步提升增益,从而克服了单级运放增益与摆幅之间的矛盾,同时实现高增益和大摆幅。 实验指标: 开环增益≥80DB; 共模抑制比≥60DB; 相位裕度≥60°; 实验步骤: 一、用EDP原理图设计软件设计两级运算放大器的电路图,电路图如图一所示: 图一:CMOS两级运算放大器电路图 1、电路工作原理: 信号由差分对管两端输入,差模电压被转化为差模电流,差模电流作用在电流镜负载上又转化成差模电压,信号电压被第一次放大后被转化为单端输出,随即进入共源级再一次被放大后从漏端输出。电路特点是通过两级结构可以同时满足增益和输出摆幅的要求,即第一级提供高增益,可以牺牲摆幅,第二级弥补摆幅,同时进一步增大增益。 2、电路主体结构 由两个两个单级放大器构成,分别是:差分输入级和共源增益级。辅助电路为偏置电路和频率补偿电路。差分输入级采用PMOS 输入对管,NMOS 电流镜负载;共源级采用NMOS 放大管,PMOS 负载管;由六个MOS 管和一个电阻构成的电流源为两级放大电路提供偏置,另外还为频率补偿MOS 管提供偏压;一个NMOS 管和一个电容构成频率补偿电路,连接在共源级的输入输出之间作为密勒补偿。图一中分别命名为M1到M13。

VLSI Design Lab2

VLSI Design Lab 2 Due to 4/6 pm 12:00 Setup 1. %cd T181p6m_ads %cp .cdsinit ../ (run calibre需使用之檔案) %icfb& 1.1 Tool=> Library manager 1.2 File=>New=>Library 1.3輸入library name然後按OK 3. File=>New=>Cell View

1.4 直接選OK 1.5

Create Schematic 2.4選擇剛剛建好的librar y,然後選File=>New=>Cell View 2.5如下圖Tool=>Composer Schematic,然後輸入Cell Name 3Add component 利用軟體中預設的library (analogLib與basic)已定義好的元件完成schematic viewChoose: Add->Instance就會看到對話window,再選取Browse中之analogLib之元件,即可. Note:Tsmc or Umc通常會提供pcell. 同理,Add->Pin,but must define input terminal and output terminal pin.(vdd and gnd 屬於inoutput)最後用Add->Wire做接線的動作即可。 4Using Parameterized Cell (Pcell) 選tsmc18rf的Library 使用pmos2v、nmos2v 之MOS元件(為了之後LAYOUT會用到)。L為channel length 、W為channel width

CMOS实验课1HSPICE介绍

HSPICE介绍 1、为什么要使用Hspice进行电路仿真 Avant! Star_Hspice(Synopsys公司)是IC设计中最长用的仿真工具,是目前业界使用最为广泛的IC设计工具,甚至可以说是事实上的标准。目前,一般的书籍中都采用比较简单的MODEL对MOS 电路进行计算和估算。而工艺厂商提供的MODEL往往要高级的多、复杂的多。因此设计者除了利用书本上的公式对电路进行估算外,还需要使用更高级的MODEL对电路进行精确的仿真,这就有赖于仿真工具的使用,如Hspice,Spectre。 2、Hspice仿真的流程

3、Hspice所使用的单位(不区分大小写) 4、输入文件格式(.net /.sp)

5、电路元器件在Hspice文件中的表示方法 在器件名字前面加上前缀字符,即可被Hspice程序识别,如:MOS器件前缀为:M BJT器件前缀为:Q Diode器件前缀为:D 子电路的前缀为:X 电阻、电容、电感的前缀分别为R、C、L 下面表示一个器件名为M1的MOS管 MM1 ND NG NS NB MNAME L=VAL W=VAL M=VAL 下面表示一个器件名为C1的电容 CC1 net1 net2 1pf 定义子电路的语句如下: .SUBCKT SUBNAM(子电路的名字) 1 2 3 4(子电路外部节点)例子: .SUBCKT 2NAND 1 2 3 (描述电路结构) .ENDS 2NAND 调用子电路时,使用X前缀加实例名,将SUBCKT实例化,如: .XOPAMP1 4 5 6 OPAMP 6、信号源描述(激励描述): 电压源-V,电流源-I Vxxx/Ixxx n+ n- < dcval> > +

完整版HSPICE与CADENCE仿真规范与实例

电路模拟实验专题 实验文档 一、简介 Simulation Program With Integrated Circuit)仿真模拟,SPICE(本实验专题基于讲授电路模拟的方法和spice仿真工具的使用。 SPICE仿真器有很多版本,比如商用的PSPICE、HSPICE、SPECTRE、ELDO,免费版本的WinSPICE,Spice OPUS等等,其中HSPICE和SPECTRE功能更为强大,在集成电路设计中使用得更为广泛。因此本实验专题以HSPICE和SPECTRE作为主要的仿真工具,进行电路模拟方法和技巧的训练。 参加本实验专题的人员应具备集成电路设计基础、器件模型等相关知识。 二、Spice基本知识(2) 无论哪种spice仿真器,使用的spice语法或语句是一致的或相似的,差别只是在于形式上的不同而已,基本的原理和框架是一致的。因此这里简单介绍一下spice的基本框架,详细的spice 语法可参照相关的spice教材或相应仿真器的说明文档。

首先看一个简单的例子,采用spice模拟MOS管的输出特性,对一个NMOS管进行输入输出特性直流扫描。V从1V变化到3V,步长为0.5V;V从0V变化到5V,步长为DSGS0.2V;输出以V为参量、I与V之间关系波形图。DSGSD *Output Characteristics for NMOS M1 2 1 0 0 MNMOS w=5u l=1.0u VGS 1 0 1.0 VDS 2 0 5 .op .dc vds 0 5 .2 Vgs 1 3 0.5 .plot dc -I(vds) .probe *model .MODEL MNMOS NMOS VTO=0.7 KP=110U +LAMBDA=0.04 GAMMA=0.4 PHI=0.7 .end 描述的仿真电路如下图, 图2-1 MOS管输入输入特性仿真电路图 得到的仿真波形图如下图。 程序中可以知道spice电路描述的主要组成部分。从这个简单的spice 标题和电路结束语句(1)在输入的电路描述语句中输入的第一条语句必须是标题语句,最后一条必须是结束语句。在本例中, ←标题*Output Characteristics for NMOS ……. ……结束语句←.end 2电路描述语句)(器件模型等描述,另激励源、电路描述语句描述电路的组成和连接关系,包括元器件、外,如果电路是层次化的,即包含子电路,电路描述部分还包括子电路描述(。).subckt元器采用不同的关键字作为元件名的第一个字母,要根据类型,在描述元器件时,NMOS件关键字见下表。如本例中,管的描述为:M1 2 1 0 0 MNMOS w=5u l=1.0u 表示的意思为: 元器件关键字x D G S B 模型名宽=xx 长=xx 其中D:漏结点;G:栅结点;S:源结点;B:衬底结点。

PSPICE仿真流程

PSPICE仿真流程 (2013-03-18 23:32:19) 采用HSPICE 软件可以在直流到高于100MHz 的微波频率范围内对电路作 精确的仿真、分析和优化。 在实际应用中,HSPICE能提供关键性的电路模拟和设计方案,并且应用HSPICE进行电路模拟时, 其电路规模仅取决于用户计算机的实际存储器容量。 二、新建设计工程 在对应的界面下打开新建工程: 2)在出现的页面中要注意对应的选择 3)在进行对应的选择后进入仿真电路的设计:将生成的对应的库放置在CADENCE常用的目录 中,在仿真电路的工程中放置对应的库文件。 这个地方要注意放置的.olb库应该是PSPICE文件夹下面对应的文件,在该文件的上层中library中 的.olb中的文件是不能进行仿真的,因为这些元件只有.olb,而无网表.lib。4)放置对应的元件: 对于项目设计中用到的有源器件,需要按照上面的操作方式放置对应的器件,对于电容, 电阻电感等分离器件,可以在libraries中选中所有的库,然后在滤波器中键入对应的元件

就可以选中对应的器件,点击后进行放置。 对分离元件的修改直接在对应的元件上面进行修改:电阻的单位分别为:k m; 电容的单位分别为:P n u ;电感的单位分别为:n 及上面的单位只写量级不写单位。 5)放置对应的激励源: 在LIBRARIES中选中所有的库,然后键入S就可以选中以S开头的库。然后在对应的 库中选中需要的激励源。 激励源有两种一种是自己进行编辑、手工绘制的这个对应在库中选择: 另外一种是不需要自己进行编辑: 该参数的修改可以直接的在需要修改的数值上面就行修改,也可以选定电源然后点击右键后进行对应的修改。 6)放置地符号: 地符号就是在对应的source里面选择0的对应的标号。 7)直流电源的放置: 电源的选择里面应该注意到选择source 然后再选定VDC或者是其它的对应的参考。 8)放置探头: 点击对应的探头放置在感兴趣的位置处。 6 对仿真进行配置:

Hspice简明手册

Hspice 简明手册 Hspice是一个模拟电路仿真软件,在给定电路结构和元器件参数的条件下,它可以模拟和计算电路的各种性能。用Hspice分析一个电路,首先要做到以下三点:(1)给定电路的结构(也就是电路连接关系)和元器件参数(指定元器件的参数库); (2)确定分析电路特性所需的分析内容和分析类型(也就是加入激励源和设置分析类型); (3)定义电路的输出信息和变量。 Hspice规定了一系列输入,输出语句,用这些语句对电路仿真的标题,电路连接方式,组成电路元器件的名称,参数,模型,以及分析类型,以及输出变量等进行描述。 一Hspice输入文件的语句和格式 Hspice输入文件包括电路标题语句,电路描述语句,分析类型描述语句,输出描述语句,注释语句,结束语句等六部分构成,以下逐一介绍: 1 电路的标题语句 电路的标题语句是输入文件的第一行,也成为标题行,必须设置。它是由任意字母和字符串组成的说明语句,它在Hspice的title框中显示。 2 电路描述语句 电路描述语句由定义电路拓扑结构和元器件参数的元器件描述语句,模型描述语句和电源语句等组成,其位置可以在标题语句和结束语句之间的任何地方。 (1)电路元器件 Hspice要求电路元器件名称必须以规定的字母开头,其后可以是任意数字或字母。除了名称之外,还应指定该元器件所接节点编号和元件值。 电阻,电容,电感等无源元件描述方式如下: R1 1 2 10k (表示节点1与2间有电阻R1,阻值为10k欧) C1 1 2 1pf (表示节点1与2间有电容C1,电容值为1pf) L1 1 2 1mh (表示节点1与2间有电感L1,电感值为1mh) 半导体器件包括二极管,双极性晶体管,结形场效应晶体管,MOS场效应晶体管等,这些半导体器件的特性方程通常是非线性的,故也成为非线性有源元件。在电路CAD工具进行电路仿真时,需要用等效的数学模型来描述这些器件。 (a)二极管描述语句如下: DXXXX N+ N- MNAME D为元件名称,N+和N-分别为二极管的正负节点,MNAME是模型名,后面为可选项:AREA是面积因子,OFF时直流分析所加的初始条件,IC=VD时瞬态分析的初始条件。(b)双极型晶体管 QXXXX NC NB NE MNAME Q为元件名称,NC NB NE 分别是集电极,基极,发射极和衬底的节点。缺省时,NS结地。后面可选项与二极管的意义相同。 (c)结型场效应晶体管 JXXXX ND NG NS MNAME J为元件名称,ND NG NS为漏,栅,源的节点,MNAME是模型名,后面为可选项与二极管的意义相同。 (d)MOS场效应晶体管 MXXXX ND NG NS NB MNAME M为元件名称,ND,NG,NS,NB分别是漏,栅,源和衬底节点。MNAME 是模型名,L沟道

ASIC课程设计MOS输出级电路设计与Hspice仿真

ASIC课程设计MOS 输出级电路设计与Hspice仿真

目录 一.背景介绍................................... 错误!未定义书签。二.设计要求与任务................................ 错误!未定义书签。三.电路原理及设计方法............................ 错误!未定义书签。1.电阻负载共源级放大器电路原理分析..............错误!未定义书签。2.有源负载共源放大器设计方法....................错误!未定义书签。四.HSpice软件环境概述............................ 错误!未定义书签。1.简介 .........................................错误!未定义书签。2.特点 .........................................错误!未定义书签。3.界面预览 .....................................错误!未定义书签。五.设计过程...................................... 错误!未定义书签。六.结果和讨论.................................... 错误!未定义书签。七.设计心得...................................... 错误!未定义书签。八.库文件程序附录................................ 错误!未定义书签。

Hspice 常见si仿真子电路集锦

Hspice常见子电路集锦 TDR_differential source: .subcktTDR_SOURCE+Ro+Cable D+_SOURCE D-_SOURCE Vin1 1 0 pulse(0 1 0 100e-12) *positive source voltage Rin1 1 2 50 * positive source voltage internal resistance T1 2 0 D+_SOURCE 0 Zo=50 Td=200e-12 *TDR positive port 50ohm cable Vin2 4 0 pulse(0 -1 0 100e-12) *negative source voltage Rin2 4 5 50 * negative source voltage internal resistance T2 5 0 D-_SOURCE 0 Zo=50 Td=200e-12 *TDR negative port 50ohm cable .ends * TDR_differential termination .subcktTDR_Termination_R D+_T_R D-_T_R RD+ D+_T_R 0 50 RD- D-_T_R 0 50 .ends 统计眼图分析步骤: *Incident port definitions p1tx_in+ tx_in- 0 port=1 p2 in 0 port=2 Probe port definitions p3rxout+ rxout- 0 port=3 p4 out 0 port=4 Analysis statement .stateye T = 400p trf=20p + incident_Port= 1, 2 + probe_port = 3, 4 + Rj = 5p, 5p, 2p, 2p tran_init = 50 + T_resolution = 300 V_resolution = 300 Print, probe, and measure statements .print stateyeeye(4) .print stateyeber(3) .print stateyebathtubV(3, 0.9) .print stateyebathtubT(4, 1n) .probe stateyeeye(4) .probe stateyeber(3) .probe stateyebathtubV(3, 0.9)

!2013版icfb的使用说明

icfb的使用说明 实验室上机 如果选择到微电子所开放实验室上机,用自己的用户名和密码进入Linux操作系统后,在桌面上点击鼠标右键,选择New Terminal启动一个命令行窗口,如图1所示。 图1 1.1远程登录 如果选择远程登录方式,可以参考下面的步骤。 1安装远程登录软件 例如Xmanager 1.3.9。 2配置远程登录软件 在[开始]菜单中,运行Xmanager菜单中的Xconfig;设置Window模式为Multiple Window Mode,Background选则X window background(transparent), Window Manager选则Local Only;设置XDM为Do not Use XDM(Passive). 点击[确定],如图2。 3运行远程登录软件 在[开始]菜单中,运行Xmanager菜单中的Xstart;Name选择xterm,Host输入166.111.77.10,Protocol选择SSH,点击Run,即可用自己的用户名和密码登录服务器,登录成功后也会打开一个命令行窗口,如图3。

图2 图3 1.2文件上传或下载 可以用自己的用户名和密码访问ftp://166.111.77.10,用于上传或下载自己的数据和文档。 注意,对于上传的网表(例如inv.sp)等文件,最好都运行一下dos2unix命令以确保文件转换为Unix格式,方法是在命令行窗口中输入命令dos2unix inv.sp,如图4。 图4

2创建工作环境 如果是第一次使用,需要按以下步骤创建工作环境。如果是继续以前的工作,则直接按2.2节的步骤进入工作目录,启动设计软件即可。 2.1创建工作目录 创建工作目录:在命令行窗口中输入命令mkdir project 。 创建验证目录:在命令行窗口中输入命令mkdir project/verify 。 注意,所有设计工作、软件启动都在目录~/project下进行,所有版图验证工作都在目录~/project/verify下进行,不要在其它目录下进行。 设置SMIC工艺库环境:输入命令cp ~chby1/project/cds.lib ~/project,如图5。 图5 2.2启动设计软件 进入工作目录:输入命令cd project 。 启动设计软件icfb:输入命令icfb & ,弹出如图6所示的CIW窗口。 点击菜单File→Exit可以退出icfb软件。 图6 2.3创建设计库 创建自己的设计库:在CIW窗口中点击菜单File→New→Library;在弹出的对话框中,Library

IC设计入门教程

使用手册
Edited by 黄子龙、赵建胜、林庆钧(2002)
1

Outline
Introduction 工作站使用初级入门 事前准备 Cadence A. Layout B. Schematic C. Symbol D. PDRACULA 5. Spice A. Hspice B. Awaves 1. 2. 3. 4.
Introduction
完整的 Full-Custom 设计系统环境 1. 设计数据库-Cadence Design Framework II 2. 电路编辑环境-Text editor / schematic editor 3. 电路仿真软件-spice 4. 布局编辑软件-Candence virtuoso 5. 布局验证软件-diva, Dracula/Inquery, Hercules 系统环境 1. 工作站 2. unix-based 操作系统
2

工作站使用初级入门
基本指令简介: 1. ls:检视目录下所有档案。 2. clear:清除屏幕。 3. pwd:显示目前工作的目录。 4. cd:改变目录。 5. rm:删除档案。 6. cp:复制档案。 7. mv:移动档案。 8. mkdir:建立目录。 9. rmdir:删除目录。 10. find:寻找档案。 11. passwd:改变密码。 12. chfn:改变全名。 13. finger:显示使用者信息。 14. rusers:显示所有使用者。 指令再介绍: 1.登录步骤 login :___________(输入 username) password:___________(输入密码) 2.注销步骤 logout 或
exit
3.在线指令说明 执行格式: man [command-name] 4.改变工作目录位置 执行格式: cd [name] Example: cd dir1 改变目录位置,至 dir1 之目录位置下。 5.复制档案 执行格式: cp [-r] source destination Example: cp file1 file2 将档案 file1 复制成 file2。 cp –r dir1 dir2 复制整个目录。
3

相关文档
最新文档