基于CPLD的全数字脉宽调制器的设计

基于CPLD的全数字脉宽调制器的设计
基于CPLD的全数字脉宽调制器的设计

振幅调制器的设计MC

通信电子课程设计实验报告 课程名称振幅调制器的设计 专业通信工程 班级 学号 姓名 指导教师 2015年7月12日

目录 一、项目概述 1.1引言-------------------------------------------------------3 1.1 项目简介---------------------------------------------------3 1.2 任务及要求-------------------------------------------------4 二、项目实施过程 2.1 MC1496部结构及原理---------------------------------------4 2.2原理设计容------------------------------------------------6 2.2.1普通调幅电路设计---------------------------------------6 2.2.2抑制载波的双边带调幅 ----------------------------------7 2.2.3普通调幅与载波被抑制双边带调幅波的区别-----------------8 2.3元件参数设计-------------------------------------------------8 三、结果分析 3.1调幅电路工作过程--------------------------------------------10 3.2调幅电路实验结果--------------------------------------------12 3.2.1 AM普通调幅调制波形输出-------------------------------12 3.2.2 DSB载波被抑制双边带调幅波形输出----------------------13 3.2.3 信号源的输出------------------------------------------13 四、项目总结-------------------------------------------------------14 五、相关介绍-------------------------------------------------------15 六、参考文献-------------------------------------------------------16 七、附录-----------------------------------------------------------16

基于xilinx仿真 数字电子时钟的VHDL设计

VHDL语言设计数字时钟 实验性质:综合性实验级别: 开课单位:信息与通信工程学院通信工程系学时:4学时 一、实验目的: 1、学习用VHDL语言实现比较大型的电路的方法。 2、继续巩固cpld技术层次化设计方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 设计一数字时钟,要求具有时、分、秒、计数显示功能,以24小时循环计时;具有清零,调节小时,分钟功能;具有整点报时功能。 四、实验步骤: 1、根据电路特点,用层次设计的概念,将此任务分成若干模块,规定每一模块的功能和各模块之间的接口。让几个学生分作和调试其中之一,然后再将各模块合起来联试。以培养学生之间的合作精神,同时加深层次化设计概念。 2、了解软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何融合。 3、模块说明: 各种进制的计数及时钟控制模块(10进制、6进制、24进制) 扫描分时显示,译码模块 各模块都用VHDL语言编写 实现电子钟原理图

各模块程序 秒模块: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity second is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; semin : in STD_LOGIC; enmin : out STD_LOGIC; daout : out STD_LOGIC_VECTOR (6 downto 0)); end second; architecture Behavioral of second is signal count:std_logic_vector(6 downto 0); signal enmin_1,enmin_2:std_logic; begin daout<=count; enmin_2<=(semin and clk); enmin<=(enmin_1 or enmin_2); process(clk,reset,semin) begin if(reset='0')then count<="0000000"; enmin_1<='0'; elsif(clk'event and clk='1')then

AM与DSB振幅调制器的设计

1.设计要求 AM和DSB振幅调制器的设计 设计要求:用模拟乘法器设计一个振幅调制器,使其能实现AM和DSB信号调制。 主要指标: 1. 载波频率:465KHz 正弦波 2. 调制信号:1KHz 正弦波 3.输出信号幅度:≥3V(峰-峰值)无明显失真 2.原理分析 2.1振幅调制产生原理 所谓调制,就是在传送信号的一方将所要传送的信号附加在高频振荡上,再由天线发射出去。这里高频振荡波就是携带信号的运载工具,也叫载波。振幅调制,就是由调制信号去控制高频载波的振幅,直至随调制信号做线性变化。在线性调制系列中,最先应用的一种幅度调制是全调幅或常规调幅,简称为调幅(AM)。为了提高传输的效率,还有载波受到抑制的双边带调幅波(DSB)和单边带调幅波(SSB)。在频域中已调波频谱是基带调制信号频谱的线性位移;在时域中,已调波包络与调制信号波形呈线性关系。 2.2标准调幅波(AM)产生原理 调制信号是只来来自信源的消息信号(基带信号),这些信号可以是模拟的,亦可以是数字的。为首调制的高频振荡信号可称为载波,它可以是正弦波,亦可以是非正弦波(如周期性脉冲序列)。载波由高频信号源直接产生即可,然后经过高频功率放大器进行放大,作为调幅波的载波,调制信号由低频信号源直接产生,二者经过乘法器后即可产生双边带的调幅波.工作原理如框图所示。 基带调制信号 乘法器加法器标准调制波

设载波信号的表达式为: 调制信号的表达式为: 则调幅信号的表达式为: 式中,m ——调幅系数,m= 标准调幅波示意图如下: 由图可见,调幅波中载波分量占有很大比重,因此信息传输效率较低,称这种调制为 有载波调制。为提高信息传输效率,广泛采用抑制载波的双边带或单边带振幅调制。 高频载波 t Ucm t uc ω cos )(=t m U t u ΩΩ=Ωcos )(t t m ucm t uo ωcos )cos 1() (Ω+=t t Ucmma t t Ucmma t Ucm )cos(cos 2 1 )cos(cos 2 1 cos Ω-+Ω++=ωωωωωUcm Um

第6.1节 增强型脉宽调制器(ePWM)

第6章DSP片上控制与采样外设 6.1 增强型脉宽调制器(ePWM)

6.1.1 ePWM模块概述 ?增强型脉冲宽度调制器(ePWM) ?ePWM模块中每个完整的PWM通道都是由两个PWM输出组成,即ePWMxA和ePWMxB ?有时为了能够更精确控制PWM输出,加入了硬件扩展模块—高精度脉冲宽度调制器(HRPWM) ?当多个ePWM模块集成在一个器件内时,如图:

每个ePWM模块都具有以下特征: ●周期和频率可控的16位时间基准计数器。 ●两个PWM输出(EPWMxA,EPWMxB),可以配置成以下工作方式:两个 独立的带有单个边沿操作的PWM输出、两个独立的带有双边沿对称操作的PWM输出、一个独立的带有双边沿非对称操作的PWM输出。 ●通过软件可实现PWM信号的异步越权控制。 ●可编程的相位控制,以支持相对其它ePWM模块的相位滞后或超前操 作。 ●逐周期的硬件上相位同步。 ●独立的上升沿和下降沿死区延时控制。 ●可编程错误区域分配,用于故障时的逐周期控制和单次控制。 ●所有事件都可以触发CPU中断以及ADC启动转换(SOC)。 ●事件可预定标,减小CPU频繁响应中断的负担。 ●循环周期性的硬件锁定(同步)相位关系。 ●错误条件可强制PWM输出为高、低以及高阻状态。 ●高频载波信号实现PWM斩波,对于脉冲变压器门极驱动非常有用。

ePWM子模块概述 ?ePWM模块主要包含以下7部分:时间基准子模块;计数比较子模块;动作限定子模块;死区控制子模块;PWM斩波子模块;错误区域控制子模块和事件触发子模块。 ?每个ePWM模块都是由7个子模块组成,并且系统内通过信号进行连接,如图:

FPGACPLD数字电路设计经验

FPGA/CPLD数字电路设计经验分享 摘要:在数字电路的设计中,时序设计是一个系统性能的主要标志,在高层次设计方法中,对时序控制的抽象度也相应提高,因此在设计中较难把握,但在理解RTL电路时序模型的基础上,采用合理的设计方法在设计复杂数字系统是行之有效的,通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高,并且系统的工作频率可以达到一个较高水平。 关键词:FPGA数字电路时序时延路径建立时间保持时间 1 数字电路设计中的几个基本概念: 1.1 建立时间和保持时间: 建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间不够,数据同样不能被打入触发器。数据稳定传输必须满足建立和保持时间的要求,当然在一些情况下,建立时间和保持时间的值可以为零。PLD/FPGA开发软件可以自动计算两个相关输入的建立和保持时间 注:在考虑建立保持时间时,应该考虑时钟树向后偏斜的情况,在考虑建立时间时应该考虑时钟树向前偏斜的情况。在进行后仿真时,最大延迟用来检查建立时间,最小延时用来检查保持时间。 建立时间的约束和时钟周期有关,当系统在高频时钟下无法工作时,降低时钟频率就可以使系统完成工作。保持时间是一个和时钟周期无关的参数,如果设计不合理,使得布局布线工具无法布出高质量的时钟树,那么无论如何调整时钟频率也无法达到要求,只有对所设计系统作较大改动才有可能正常工作,导致设计效率大大降低。因此合理的设计系统的时序是提高设计质量的关键。在可编程器件中,时钟树的偏斜几乎可以不考虑,因此保持时间通常都是满足的。

数字PWM直流调速设计

容摘要 本文是基于对直流电机PWM调速器设计的研究,主要实现对直流电机的控制。本设计主要是实现PWM调速器的正转、反转、减速、加速、停止的五大操作。并实现电路的仿真并设计实际电路进行控制。为实现系统的微机控制,在设计中,采用STC89C5单片机作为整个控制系统的控制电路的核心部分,驱动模块,实现通过PWM波对电动机转速参数的改变和测量;由命令输入模块、H型驱动模块组成。采用带中断的独立式键盘作为命令的输入,单片机在程序控制下,不断给电路发送PWM波形,完成电机正反转控制.是通过H型驱动电路,采用PWM调速方式,通过改变PWM的占空比从而改变电动机的电枢电压,进而实现对电动机的调速。设计的整个控制系统,在硬件结构上采用了大量的集成电路模块,大大简化硬件电路,提高了系统的稳定性和可靠性,使整个系统的性能得到提高。 索引关键词:直流电机调速;H桥驱动电路;LC显示器;51单片机

目录 第一章绪论 (1) 1.1 设计目的 (1) 1.2 设计背景 (1) 1.3 设计容 (1) 第二章数字PWM直流调速系统方案设计 (1) 2.1 直流电动机调速方法 (1) 2.2旋转变流机组缺点 (2) 第三章数字PWM直流调速系统主电路设计 (3) 3.1 主电路结构设计 (3) 3.2 SG3525引脚各端子功能 (4) 第四章数字PWM直流双闭环系统的电路设计 (5) 4.1 转速调节器ASR电路 (5) 4.2 PWM脉宽控制电路 (6) 后记 (8) 参考文献: (9)

数字PWM直流调速系统设计 第一章绪论 1.1 设计目的 通过对一个实用控制系统的设计,综合运用科学理论知识,提高工程意识和实践技能,使学生获得控制技术工程的基本训练,培养学生理论联系实际、分析解决实际问题的初步应用能力。近年来,科技发展的越来越快,直流电机具有良好的起动特性和调速特性。其中调速平滑,方便,可实现频繁的无极快速起动、制动和反转,能承受很大负载,需要满足生产过程自动化系统各种不同的特殊要求,但是随着科学技术的不断发展,PWM波调速的3 方法的发现,以及温度漂移等。而用PWM技术后,避免上述的缺点,不仅简化了电路还实现了通过电力电子器件改变开关频率,提高系统的稳定性还有抗干扰能力。随着我国经济和文化事业的发展,科技的进步,在很多场合,都要求有直流电机PWM调速系统来进行调速,诸如汽车行业中的各种风扇、火箭、雷达、战车等场合。 1.2 设计背景 在电气行业中,随着各项技术水平的不断提高,使得传统工艺有了深层次的提高,对人类的生产与生活,产生了深刻且深远的影响,已经与我们息息相关。当需要良好的启动,制动性能,并需要大围平滑调速时,直流电机是一个很好地选择非线性集成电路以及少量的数字电路组成的直流电机调速控制系统大多数都为早减小模拟信号控制间相互干扰,减小模拟信号产生温漂等不稳定因素。。它的发展趋势将是向大容量、高性能化、外围电路装化等方面发展。 1.3 设计容 近年来,科技发展的越来越快,直流电机具有良好的起动特性和调速特性。其中调速平滑,方便,可实现频繁的无极快速起动、制动和反转,能承受很大负载,需要满足生产过程自动化系统各种不同的特殊要求,但是随着科学技术的不断发展,PWM波调速的3 方法的发现,以及温度漂移等。而用PWM技术后,避免上述的缺点,不仅简化了电路还实现了通过电力电子器件改变开关频率,提高系统的稳定性还有抗干扰能力。随着我国经济和文化事业的发展,科技的进步,在很多场合,都要求有直流电机PWM调速系统来进行调速,诸如汽车行业中的各种风扇、火箭、雷达、战车等场合。 第二章数字PWM直流调速系统方案设计 2.1 直流电动机调速方法 直流电动机的调速方法有种: (1)调节电枢供电电压U。改变电枢电压主要是从额定电压往下降低电枢电压,从电动机额定转速向下变速,属恒转矩调速方法。对于要求在一定围无级平滑调速的系统来说,这种方法最好。 I变化遇到的时间常数较小,能快速响应,但是需要大容量可调直流电源。 a

基于FPGA的数字钟设计

摘要 伴随着集成电路技术的发展, 电子设计自动化(EDA)技术逐渐成为数字电路设计的重要手段。基于FPGA的EDA技术的发展和应用领域的扩大与深入,使得EDA技术在电子信息,通信,自动控制,计算机等领域的重要性日益突出。 本设计给出了一种基于FPGA的多功能数字钟方法,采用EDA作为开发工具,VHDL语言和图形输入为硬件描述语言,QuartusII作为运行程序的平台,编写的程序经过调试运行,波形仿真验证,下载到EDA实验箱的FPGA芯片,实现了设计目标。 系统主芯片采用CycloneII系列EP2C35F672C8。采用自顶向下的设计思想,将系统分为五个模块:分频模块、计时模块、报时模块、显示模块、顶层模块。用VHDL语言实现各个功能模块, 图形输入法生成顶层模块. 最后用QuartusII 软件进行功能仿真, 验证数字钟设计的正确性。 测试结果表明本设计实现了一个多功能的数字钟功能,具有时、分、秒计时显示功能,以24小时循环计时;具有校正小时和分钟的功能;以及清零,整点报时功能。 关键词:EDA技术;FPGA;数字钟;VHDL语言;自顶向下

Abstract Accompanied by the development of integrated circuit technology, electro nic design automation (EDA) technology is becoming an important means of digital circuit design. FPGA EDA technology development and expansion of a pplication fields and in-depth, the importance of EDA technology in the field of electronic information, communication, automatic control, computer, etc. hav e become increasingly prominent. This design gives a FPGA-based multifunctional digital clock using ED A as a development tool, VHDL language and graphical input hardware descri ption language, the QuartusII as a platform for running the program, written procedures debugging and running, the waveform simulation downloaded to th e FPGA chip to achieve the design goals. The main system chip CycloneII series EP2C35F672C8. Adopted a topdw n design ideas, the system is divided into five modules: frequency module, ti ming module, timer module, display module, the top-level module. With VHD L various functional modules, graphical input method to generate the top-level module. Last QuartusII under simulation, to verify the correctness of the digi tal clock design. The test results show that the design of a multifunctional digital clock, with seconds time display, 24-hour cycle timing; has a school, cleared, and th e whole point timekeeping functions. Key words: EDA technology; FPGA; VHDL language; top-down; digital cloc k

通信原理课程设计-2FSK调制

湘南学院课程设计 课程名称通信原理 系别:计算机科学系 专业班级:通信一班 学号: 06 02 36 26 29 姓名:肖雅青、许芬、蒋小松、杨潜、杨志 题目:基于Matlab的2FSK调制及仿真 完成日期: 2010年 12月 31日 指导老师:王鲁达 2010年 12月31 日

目录 1、设计题目 (3) 2、设计原理 (3) 3、实现方法 (4) 4、设计结果及分析 (7) 5、参考文献 (10)

Ⅰ.设计题目 基于Matlab 的2FSK 调制及仿真 Ⅱ.设计原理 数字频率调制又称频移键控,记作FSK ;二进制频移键控记作2FSK 。 2FSK 数字调制原理: 1、2FSK 信号的产生: 2FSK 是利用数字基带信号控制在波的频率来传送信息。例如,1码用频率f1来传输,0码用频率f2来传输,而其振幅和初始相位不变。故其表示式为 {) cos()cos(211 2 2 )(θωθω?++=t A t A FSK t 时 发送时 发送"1""0" 式中,假设码元的初始相位分别为1θ和2θ;112f π=ω和222f π=ω为两个不同的码元的角频率;幅度为A 为一常数,表示码元的包络为矩形脉冲。 2FSK 信号的产生方法有两种: (1)模拟法,即用数字基带信号作为调制信号进行调频。如图1-1(a )所示。 (2)键控法,用数字基带信号)(t g 及其反)(t g 相分别控制两个开关门电路,以此对两个载波发生器进行选通。如图1-1(b )所示。 这两种方法产生的2FSK 信号的波形基本相同,只有一点差异,即由调频器产生的2FSK 信号在相邻码元之间的相位是连续的,而键控法产生的2FSK 信号,则分别有两个独立的频率源产生两个不同频率的信号,故相邻码元的相位不一定是连续的。 (a) (b) 图1-1 2FSK 信号产生原理图 由键控法产生原理可知,一位相位离散的2FSK 信号可看成不同频率交替发送的两个2ASK 信号之和,即

LPC2378学习笔记之脉冲宽度调制器(PWM)

PWM波的用途: 可以做步进电机的调速、可以通过无缘蜂鸣器播放音乐、可以通过滤波的方法做DA转换,还可以控制灯的亮度,就这些了吧,对了还可以做信号调制。一般用于电机调速,还有开关电源,。PWM波就是占空比传函,改变脉宽可以输出一系列的平均电压系列。 PWM波的产生形式: Pulse Width Modulation -- 脉宽调制/脉冲宽度调制。脉冲宽度调制(PWM)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。脉宽调制是开关型稳压电源中的术语。这是按稳压的控制方式分类的,除了PWM型,还有PFM 型和PWM、PFM混合型。脉宽调制式开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。PWM一种模拟控制方式,根据相应载荷的变化来调制晶体管栅极或基极的偏置,来实现开关稳压电源输出晶体管或晶体管导通时间的改变,这种方式能使电源的输出电压在工作条件变化时保持恒定。 PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。多数负载(无论是电感性负载还是电容性负载)需要的调制频率高于10Hz,通常调制频率为1kHz到200kHz之间。 许多微控制器内部都包含有PWM控制器。例如,Microchip公司的PIC16C67内含两个PWM控制器,每一个都可以选择接通时间和周期。占空比是接通时间与周期之比;调制频率为周期的倒数。执行PWM操作之前,这种微处理器要求在软件中完成以下工作: * 设置提供调制方波的片上定时器/计数器的周期 * 在PWM控制寄存器中设置接通时间 * 设置PWM输出的方向,这个输出是一个通用I/O管脚 * 启动定时器 * 使能PWM控制器 PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。让信号保持为数字形式可将噪声影响降到最小。噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。 对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。从模拟信号转向PWM可以极大地延长通信距离。在接收端,通过适当的RC或LC网络可以滤除调制高频方波并将信号还原为模拟形式。

数字系统设计

第一次作业 EDA 的英文全称是什么EDA 的中文含义是什么 答:ED自动化A 即Electronic Design Automation 的缩写,直译为:电子设计。 什么叫 EDA 技术利用 EDA 技术进行电子系统的设计有什么特点 答:EDA 技术有狭义和广义之分,狭义EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC 自动设计技术。 ①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。 从使用的角度来讲,EDA 技术主要包括几个方面的内容这几个方面在整个电子系统的设计中分别起什么作用 答:EDA 技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL 的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL 语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于实验开发系统,主要能够根据自己所拥有

基于CPLD的PWM发生器设计

第32卷 第6期 2010-6 【151】 基于CPLD的PWM发生器设计 A PWM generator designed with CPLD 耿伟松,于海东 GENG Wei-song, YU Hai-dong (扬州大学 能源与动力工程学院,扬州 225009) 摘 要:H形桥式变换器在多种动力系统中有着广泛的应用。在电机控制中,H桥中开关的控制一般采 用PWM控制技术。采用VHDL硬件描述语言设计了基于CPLD的PWM发生器,并使用Max+PlusⅡ进行仿真验证,仿真结果验证了设计的正确性。设计中采用了一种巧妙的方法来实现,其原理简单。基于CPLD的PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,其应用这将大大简化直流电机控制系统的设计并且改善系统的控制性能。 关键词:H桥;PWM发生器;CPLD;VHDL;Max+ Plus Ⅱ 中图分类号:TM383.6 文献标识码:B 文章编号:1009-0134(2010)06-0151-03Doi: 10.3969/j.issn.1009-0134.2010.06.50 0 引 言 自从全控型电力电子器件问世以后,就出现了采用脉冲宽度调制的高频开关控制方式,形成了直流P W M 调速系统[1]。脉宽调制变换器的作用是:用脉冲宽度调制的方法,把恒定的直流电源电压调制成频率一定、宽度可变的脉冲电压序列,从而改变平均输出电压的大小,以调节电机转速。 PWM变换器电路有多种形式,可分为可逆和不可逆两大类。其中可逆PWM变换器主电路有多种形式,最常用的是桥式(H形)电路。桥式变换器在许多动力系统中得到了广泛的应用(如直流驱动,直流-交流逆变器,开关电源等等)。 1 PWM 发生器的设计 桥式变换器应用在直流电机调速系统中的主要电路结构如图1所示,开关控制基本上采用 PWM技术。 图1 桥式变换器原理图 PWM信号发生器一般是通过模拟电路或者是 基于微处理器的软件控制技术来实现,但随着高速开关器件的涌现,对于复杂的调制技术,即使采用最先进的DSP(数字信号处理器)也很难实现。 随着超大规模集成电路的集成度和工艺水平的不断提高,专用集成电路ASIC的设计成本在不断降低。CPLD/FPGA是实现ASIC的主流器件,它们具有极大的灵活性和通用性,工作速度快,开发效率高,成本低,可靠性好。近年来,CPLD在电机控制系统中的应用收到了系统设计人员越来越多的重视。用CPLD来设计PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,减少微处理器的计算工作量。 VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面表现了强大的生命力和应用潜力[2],因此本文选用VHDL语言进行编程,用一片CPLD设计了PWM发生器。 由于桥式PWM变换器的工作状态是确定的,所以采用状态机方式来编程[3]也是情有可原,虽然只有六个状态,但其程序实现起来是很复杂的。用数字比较器代替模拟比较器、用线性计数器代替锯齿波发生器来产生PWM信号[4,5]的设计方法也很繁琐。在分析了桥式PWM变换器工作原理的基础上,本文采用了一种巧妙的方法来设计,其原理简单,程序容易实现。 收稿日期:2010-03-24 作者简介:耿伟松(1990-),男,江苏连云港人,本科在读,研究方向为电气工程及自动化。

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

(完整word版)数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

某脉宽调制数字快速电磁阀方案设计及仿真研究

某脉宽调制数字快速电磁阀方案设计及仿真研究 摘要:脉宽调制数字快速电磁阀作为重要的电液转换元件,在燃油控制系统的作用日益突出。针对断电常开型快速电磁阀的需求,开展断电常开型脉宽调制数字快速电磁阀的方案设计及仿真研究,并通过工程验证使该脉宽调制数字快速电磁阀的设计方案得到优化。 0.概述 航空发动机燃油控制系统的控制方式,已由原机械液压控制调节方式向电子控制调节方式发展,其核心关键是机电液转换元件。 近年来,由于脉宽调制数字快速电磁阀(以下简称快速电磁阀)在航空发动机燃油控制中的广泛使用,其经常被作为航空发动机燃油调节系统的执行部件。快速电磁阀多采用脉宽调制(PWM)来控制,利用脉冲占空比的变化来调节阀口开关的时间,使得快速电磁阀能像其它数字流量电磁阀一样,对燃油流量进行连续的控制。虽然普通的电磁阀也具有同样的数字开关特征,但是普通的电磁阀响应特性较慢,而快速电磁阀体积小、重量轻、响应速度快、稳定性好、控制精度高。 本文以某喷口加力调节器用快速电磁阀的需求为研究背景,进行了快速电磁阀方案设计及仿真工作,并对断电常0开型快速阀的设计方案进行了优化。 1.工作原理分析及结构设计方案 1.1 电磁阀结构及工作原理 高速数字电磁阀作为航空发动机电子控制系统的电液转换装置,是电子控制器的关键执行元件(见图1)。主要工作原理为:在断电情况下,挡板活门在铁芯弹簧力作用下关闭活门座,电磁阀进口和出口处于关闭状态;当线圈通电时,电磁力克服铁芯弹簧力使活门座打开,使电磁阀进口和出口处于相通状态,属于断电关闭型阀门。 图1 某定型快速阀结构图 注:1-活门座2-活门弹簧3-挡板活门4-铁芯顶头5-铁芯弹簧 该快速电磁阀采用“喷嘴-挡板”结构,其优点是技术成熟,加工容易实现,耐污染能力好,但结构不紧凑,长时间使用挡板磨损严重,且不满足航空发动机使用中断电长开的要求,需要对该电磁阀进行适当改进。

课程设计振幅调制解调器的设计

AM振幅调制解调器的设计与仿真 目录 1.课程设计的目的 (2)

2.课程设计的内容 (2) 3.课程设计的原理 (2) 4.课程设计的步骤或计算 (4) 5.课程设计的结果与结论 (8) 6.参考文献 (9) 一.课程设计的目的 目的:通过课程设计,使学生加强对高频电子技术电路的理解,学会查寻资料﹑方案比较,以及设计计算等环节。进一步提高分析解决实际问题的能力,创造一个动脑动手﹑独立开展电路实验的机会,锻炼分析﹑解决高频电子电路问题的实际本领,真正实现由课本知识向实际能力的转化;通过典型电路的设计与制作,加深对基本原理的了解,增强学生的实践能力。

二. 课程设计的内容 1、 AM振幅调制解调器的设计 (1)AM振幅调制解调器的设计 设计要求:用模拟乘法器MC1496设计一振幅调制器,使其能实现AM信号调制主要指标:载波频率:15MHz 正弦波调制信号:1KHz 正弦波 输出信号幅度:大于等于5V(峰峰值)无明显失真 (2)AM信号同步检波器 设计要求:用模拟乘法器MC1496设计一AM信号同步检波器 主要指标:输入AM信号:载波频率15MHz 正弦波,调制信号:1KHz 正弦波,幅度大于1V,调制度为60%。输出信号:无明显失真,幅度大于5V。 三. 课程设计原理

1. MC1496模拟乘法器 MC1496是双平衡四象限模拟乘法器。其内部电路和引脚如下图(a)(b)所示。其中VT1,VT2与VT3,VT4组成双差分放大器,VT5,VT6组成的单差分放大器用以激励VT1~VT4。VT7、VT8及其偏置电路组成差分放大器、的恒流源。引脚8与10接输入电压UX,1与4接另一输入电压Uy,输出电压U0从引脚6与12输出。引脚2与3 外接电阻RE,对差分放大器VT5、VT6 产生串联电流负反馈,以扩展输入电压Uy的线性动态范围。引脚14为负电源端(双电源供电时)或接地端(单电源供电使),引脚5外接电阻R5。用来调节偏置电流I5及镜像电流I0的值。 MC1496的内部电路图及引脚电路 2. 振幅调制 振幅调制是使载波信号的峰值正比于调制信号的瞬时值的变换过程。通常载

根据QuartusII软件的数字时钟设计

实验名称:数字时钟设计 姓名:杨龙成班级:电子与通信工程学号: 3120302012 成绩: 一、实验目的 1.掌握各类计数器及它们相连的设计方法; 2.掌握多个数码管显示的原理与方法; 3.掌握模块化设计方式; 4.掌握用VHDL语言的设计思想以及整个数字系统的设计。 二、实验内容 1. 设计要求 1)具有时、分、秒计数显示功能,在数码管显示00:00:00~23:59:59,以24小时循环计时。 2)完成可以计时的数字时钟时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟及清零的功能。 4)具有整点报时功能。 2. 性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分60进制计数,时钟—24进制计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间。可以通过实验板上的键7和键4进行任意的调整,因为时钟信号均是1HZ的,所以LED灯每变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。 4)蜂鸣器在整点时有报时信号产生,产生“滴答.滴答”的报警声音。 5)根据进位情况,LED灯在时钟显示时有花样显示信号产生。 3. 系统方框图

三、设计原理和过程 3.1 硬件设计 本设计使用VHDL硬件开发板,可编程逻辑器件EMP1270T144C5系列。设计过程中用到的外围电路的设计有电源部分,可编程器件EMP1270T144C5,CPLD –JTAG接口,晶振和蜂鸣器,LED数码管显示,DIP开关与按键输入(具体电路见附录) 3.2 软件设计 3.2..1 程序包my_pkg的设计说明 为了简化程序设计增加可读性,系统采用模块化的设计方法,重复使用的组件以元件(component)的形式存在,以便相关块的调用。下面列出my_pkg组件包的代码。library ieee; use ieee.std_logic_1164.all; package my_pkg is component div40M------------------------------------------------------------------元器件1 Port( clk: in std_logic; f1hz : out std_logic); end component; component count60-----------------------------------------------------------------元器件2 Port(clr,clk:in std_logic; one :buffer std_logic_vector(3 downto 0); ten :buffer std_logic_vector(3 downto 0); full:out std_logic; dout:buffer std_logic_vector(7 downto 0)); end component; component count24-----------------------------------------------------------------元器件3 Port(clr,clk:in std_logic;

相关文档
最新文档