EDA技术期末考试试卷

1、图1所示的是2选1多路选择器,试分别用WHEN_ELSE和IF_THEN语句写出此电路的VHDL程序。选择控制信号s的数据类型为STD_LOGIC,当s=’0’时,y<=a,当s=’1’时,y<=b。(30分)

2、图2是一个含有上升沿触发的D触发器的电路结构。写出此电路的VHDL 描述。(15分)

3、用case语句描述4选1多路选择器,真值表如下表所示,a、b、c、d为输入端口,s1和s2为选择控制信号,y为输出端口,数据类型均为STD_LOGIC。(15分)

相关文档
最新文档