数字电子技术课程设计课题汇总

数字电子技术课程设计课题汇总
数字电子技术课程设计课题汇总

数字电子技术课程设计课题汇总

目录

数字电子技术课程设计概述 (2)

课程设计课题

课题一数字电子钟逻辑电路设计 (6)

课题二智力竞赛抢答器逻辑电路设计 (13)

课题三交通灯控制逻辑电路设计 (19)

课题四汽车尾灯控制电路 (28)

课题五数字温度计 (30)

课题六多路防盗报警电路设计 (33)

课题七电梯控制电路设计 (35)

课题八倒计时计时器 (35)

设计举例:出租车计费器 (36)

数字电子技术课程设计概述

一、本课程设计的地位和作用

数字电子技术课程设计是电子技术基础教学中的一个实践环节,它使学生自己通过设计和搭建一个实用电子产品雏形,巩固和加深在数字电子技术课程中的理论基础和实验中的基本技能,训练电子产品制作时的动手能力。通过该课程设计,设计出符合任务要求的电路,掌握通用电子电路的一般设计方法和步骤,训练并提高学生在文献检索、资料利用、方案比较和元器件选择等方面的综合能力,同时为毕业设计和毕业以后从事电子技术方面的科研和开发打下一定的基础。

二、课程设计的目的和要求

1. 能够较全面地巩固和应用“数字电子技术”课程中所学的基本理论和基本方法,并初步掌握小型数字系统设计的基本方法。

2. 能合理、灵活地应用各种标准集成电路(SSI、MSI、LSI等)器件实现规定的数字系统。

3. 培养独立思考、独立准备资料、独立设计规定功能的数字系统的能力。

4.培养独立进行实验,包括电路布局、安装、调试和排除故障的能力。

5.培养书写综合设计实验报告的能力。

三、课程设计的基本要求

根据设计任务,从选择设计方案开始,进行电路设计;选择合适的器件,画出设计电路图;通过安装、调试,直至实现任务要求的全部功能。对电路要求布局合理,走线清晰,工作可靠,经验收合格后,写出完整的课程设计报告。

四、课程设计的具体步骤

电子电路的一般设计方法和步骤是:分析设计任务和性能指标,选择总体方案,设计单元电路,选择器件,计算参数,画总体电路图。进行仿真试验和性能测试。实际设计过程中往往反复进行以上各步骤,才能达到设计要求,需要灵活掌握。

1. 总体方案选择

设计电路的第一步就是选择总体方案,就是根据提出的设计任务要求及性能指标,用具有一定功能的若干单元电路组成一个整体,来实现设计任务提出的各项要求和技术指标。

设计过程中,往往有多种方案可以选择,应针对任务要求,查阅资料,权衡各方案的优缺点,从中选优。

2. 单元电路的设计

2.1 设计单元电路的一般方法和步骤

A. 根据设计要求和选定的总体方案原理图,确定对各单元电路的设计要求,

必要时应详细拟定主要单元电路的性能指标。

B. 拟定出各单元电路的要求后,对它们进行设计。

C. 单元电路设计应采用符合的电平标准。

2.2 元器件的选择

针对数字电路的课程设计,在搭建单元电路时,对于特定功能单元选择主要集成块的余地较小。比如时钟电路选555,转换电路选0809,译码及显示驱动电路也都相对固定。但由于电路参数要求不同,还需要通过选择参数来确定集成块型号。一个电路设计,单用数字电路课程内容是不够的,往往同时掺有线性电路元件和集成块,因此还需对相应内容熟悉,比如运算放大器的种类和基本用法,集成比较器和集成稳压电路的特性和用法。总之,构建单元电路时,选择器件的电平标准和电流特性很重要。普通的门电路、时序逻辑电路、组合逻辑电路、脉冲产生电路、数模和模数转换电路、采样和存储电路等,参数选择恰当可以发挥其性能并节约设计成本。

单元电路设计过程中,阻容元件的选择也很关键。它们的种类繁多,性能各异。优选的电阻和电容辅助于数字电路的设计可以使其功能多样化、完整化。

3. 单元电路调整与连调

数字电路设计以逻辑关系为主体,因此各单元电路的输入输出逻辑关系与它们之间的正确传递决定了设计内容的成败。具体步骤要求每一个单元电路都须经过调整,有条件情况下可应用逻辑分析仪进行测试,确保单元正确。各单元之间的匹配连接是设计的最后步骤,主要包含两方面,分别是电平匹配和驱动电流匹配。它也是整个设计成功的关键一步。

4. 衡量设计的标准

工作稳定可靠;能达到预定的性能指标,并留有适当的余量;电路简单,成本低,功耗低;器件数目少,集成体积小,便于生产和维护。

五、课程设计报告要求

课程设计报告应包括以下内容:

1.对设计课题进行简要阐述。

2.设计任务及其具体要求。

3.总体设计方案方框图及各部分电路设计(含各部分电路

功能、输入信号、输出信号、电路设计原理图及其功能阐述、所选用的集成电路器件等)。

4.整机电路图(电路图应用标准逻辑符号绘制,电路图中

应标明接线引出端名称、元件编号等)。

5.器件清单。

6. 调试结果记录。

7. 总结与体会。

课程设计报告应内容完整、字迹工整、图表整齐、数据详实。

课程设计课题

课题一数字电子钟逻辑电路设计

一、简述

数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。

数字电子钟的电路组成方框图如图1.1所示。

图1.1 数字电子钟框图

由图1.1可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。

二、设计任务和要求

用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:

1.由晶振电路产生1Hz标准秒信号。

2.秒、分为00~59六十进制计数器。

3. 时为00~23二十四进制计数器。

4. 周显示从1~日为七进制计数器。

5. 可手动校时:能分别进行秒、分、时、日的校时。只要将开关置于手动位置,可

分别对秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

6. 整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz),整点时再呜

叫一次高音(1000Hz)。

三、可选用器材

1. 通用实验底板

2. 直流稳压电源

3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路

4. 晶振:32768 Hz

5. 电容:100μF/16V、22pF、3~22pF之间

6. 电阻:200Ω、10KΩ、22MΩ

7. 电位器:2.2KΩ或4.7KΩ

8. 数显:共阴显示器LC5011-11

9. 开关:单次按键 10. 三极管:8050 11. 喇叭:1 W /4,8Ω

四、设计方案提示

根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计。

1. 秒脉冲发生器

脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲。如晶振为32768 Hz ,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图1.2所示。

74LS74

1Hz

图1.2 秒脉冲发生器

2. 计数译码显示

秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

周为七进制数,按人们一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表1.1所示。

按表1.1状态表不难设计出“日”计数器的电路(日用数字8代替)。

所有计数器的译码显示均采用BCD—七段译码器,显示器采用共阴或共阳的显示器。

表1.1 状态表

3.校时电路

在刚刚开机接通电源时,由于日、时、分、秒为任意值,所以,需要进行调整。置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入。

4.整点报时电路

当时计数器在每次计到整点前六秒时,需要报时,这可用译码电路来解决。即当分为59时,则秒在计数计到54时,输出一延时高电平去打开低音与门,使报时声按500Hz频率呜叫5声,直至秒计数器计到58时,结束这高电平脉冲;当秒计数到59时,则去驱动高音1KHz频率输出而鸣叫1声。

五、参考电路

数字电子钟逻辑电路参考图如图1.3所示。

日 1~日

时 00~23分 00~59秒 00~59

z

图1.3 数字电子钟逻辑电路参考图

六、参考电路简要说明

1. 秒脉冲电路

由晶振32768Hz经14分频器分频为2Hz,再经一次分频,即得1Hz标准秒脉冲,供时钟计数器用。

2. 单次脉冲、连续脉冲

这主要是供手动校时用。若开关K1打在单次端,要调整日、时、分、秒即可按单次脉冲进行校正。如K1在单次,K2在手动,则此时按动单次脉冲键,使周计数器从星期1到星期日计数。若开关K1处于连续端,则校正时,不需要按动单次脉冲,即可进行校正。单次、连续脉冲均由门电路构成。

3. 秒、分、时、日计数器

这一部分电路均使用中规模集成电路74LS161实现秒、分、时的计数,其中秒、分为六十进制,时为二十四进制。从图3中可以发现秒、分两组计数器完全相同。当计数到59时,再来一个脉冲变成00,然后再重新开始计数。图中利用“异步清零”反馈到/CR端,而实现个位十进制,十位六进制的功能。

时计数器为二十四进制,当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,应该回到“零”。所以,这里必须使个位既能完成十进制计数,又能在高低位满足“23”这一数字后,时计数器清零,图中采用了十位的“2”和个位的“4”相与非后再清零。

对于日计数器电路,它是由四个D触发器组成的(也可以用JK触发器),其逻辑功能满足了表1,即当计数器计到6后,再来一个脉冲,用7的瞬态将Q4、Q3、Q2、Q1置数,即为“1000”,从而显示“日”(8)。

4.译码、显示

译码、显示很简单,采用共阴极LED数码管LC5011-11和译码器74LS248,当然也可用共阳数码管和译码器。

5.整点报时

当计数到整点的前6秒钟,此时应该准备报时。图3中,当分计到59分时,将分触发器QH置1,而等到秒计数到54秒时,将秒触发器QL置1,然后通过QL 与QH相与后再和1s标准秒信号相与而去控制低音喇叭呜叫,直至59秒时,产生一个复位信号,使QL清0,停止低音呜叫,同时59秒信号的反相又和QH相与后去控制高音喇叭呜叫。当计到分、秒从59:59—00:00时,呜叫结束,完成整点报时。

6.呜叫电路

呜叫电路由高、低两种频率通过或门去驱动一个三极管,带动喇叭呜叫。1KHz 和500Hz从晶振分频器近似获得。如图中CD4060分频器的输出端Q5和Q6。Q5输出频率为1024Hz,Q6输出频率为512Hz。

课题二智力竞赛抢答器逻辑电路设计

一、简述

智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加一些科学知识和生活知识。

实际进行智力竞赛时,一般分为若干组,各组对主持人提出的问题,分必答和抢答两种。必答有时间限制,到时要告警,回答问题正确与否,由主持人判别加分还是减分,成绩评定结果要用电子装置显示。抢答时,要判定哪组优先,并予以指示和鸣叫。

因此,要完成以上智力竞赛抢答器逻辑功能的数字逻辑控制系统,至少应包括以下几个部分。

1.计分、显示部分;

2.判别选组控制部分;

3.定时电路和音响部分。

二、设计任务和要求

用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:

1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。

2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。

3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。

4. 定时及音响。

必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。

抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。回答问题的时间应可调整,分别为10s、20s、50s、60s或稍长些。

4.主持人应有复位按钮。抢答和必答定时应有手动控制。

三、可选用器材

1. 通用实验底板

2. 直流稳压电源

3. 集成电路:74LS190、74LS48、CD4043、74LS112及门电路

4. 显示器:LCD5011-11、CL002、发光二极管

5. 拨码开关(8421码)

6. 阻容元件、电位器

7. 喇叭、开关等

四、设计方案提示

1. 复位和抢答开关输入防抖电路,可采用加吸收电容或RS触发器电路来完成。

2. 判别选组实现的方法可以用触发器和组合电路完成,也可用一些特殊器件组成。例如用MC14599或CD4099八路可寻址输出锁存器来实现。

3. 计数显示电路可用8421码拨码开关译码电路显示。8421码拨码开关能进行加或减计数。也可用加/减计数器(如74LS193)来组成。译码、显示用共阴或共阳组件,也可用CL002译码显示器。

4. 定时电路。当有开关启动定时器时,使定时计数器按减计数或加计数方式进行工作,并使一指示灯亮,当定时时间到,输出一脉冲,驱动音响电路工作,并使指示灯灭。

五、参考电路

根据智力竞赛抢答器的设计任务和要求,其逻辑参考电路如下图所示。

V 码拨关1×1

2

V

V

V 8421码码开12

图2.1 四组智力竞赛抢答器逻辑控制电路参考图

六、参考电路简要说明

图2.1为四组智力竞赛抢答器逻辑控制电路参考图,若要增加组数,则需要把计分显示部分增加即可。

1.计分部分

每组均由8421码拨码开关KS-1,完成分数的增和减,每

组为三位,个、十、百位,每位可以单独进行加减。例如:100分加10分变为110分,只需按动拨码开关十位“+”号一次;若加“20”分,只要按动“+”号两次。若减分,方法相同,即按动“-”号就能完成减数计分。

顺便提一下,计分电路也可以用电子开关或集成加、减法计数器来组合完成。

2.判组电路

这部分电路由RS触发器完成,CD4043为三态RS锁存触

发器,当S1按下时,Q1为1,这时或非门74LS25为低电平,封锁了其它组的输入。Q1为1,使发光管D1发亮,同时也驱动音响电路呜叫,实现声、光的指示。输入端采用了阻容方法,以防止开关抖动。

3.定时电路

当进行抢答或必答时,主持人按动单次脉冲起动开关,使

定时数据置入计数器,同时使JK触发器翻转(Q=1),定时器进行减计数定时,定时开始,定时指示灯亮。当定时时间到,即减法计数器为“00”时,Bo为“1”,定时结束,这时去控制音响电路呜叫,并灭掉指示灯(JK触发器的/Q=1,Q=0)。

定时显示用CL002,定时的时标脉冲为“秒”脉冲。

4.音响电路

音响电路中,f1和f2为两种不同的音响频率,当某组抢答

时,应为多音,其时序应为间断音频输出。当定时到,应为单音,其时序应为单音频输出,时序如图2.2所示。

f1

f2

图2.2 音频时序波形图

课题三交通灯控制逻辑电路设计

一、简述

为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。

交通灯控制器的系统框图如图3.1所示。

3.1 交通灯控制器系统框图

二、设计任务和要求

设计一个十字路口交通信号灯控制器,其要求如下:

1.满足如图3.2顺序工作流程。

图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。

它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。

t为时间单位

图3.2 交通灯顺序工作流程图

2. 应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图见图

3.3所示。

图3.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别为15秒、3秒、18秒,一次循环为36秒。其中红灯亮的时间为绿灯、黄灯亮

的时间之和,黄灯是间歇闪耀。

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

电子技能实训教学大纲

电子技能实训教学大纲 Final approval draft on November 22, 2020

电子技能实训教学大纲一、实训课程概况: 电子技能实训教学是以学生自己动手,掌握电子技术基础理论、一定操作技能和制作几种实际产品为特色的实训项目。它是电子产品生产基本技能和工艺知识入门的向导,又是创新实践的开始和创新精神的启蒙。电子技能实训教学体系的研究是为了在电子实训教学中使机电、电子专业的学生尽快掌握电子产品的生产工艺的理论,掌握技能、积累经验和提高能力共同发展。为机电、电子专业的学生毕业后尽快适应岗位的需要,改革现行的电子实训教学内容和教学方式,高起点的培养电子产品的设计制造人才,以满足制造业发展对人才的需要。 二、实训课程安排: 本课程分九个实训单元进行。 (一) 电子基本技能实训 (二)电子仪器与线路分析实训 (三)EDA实训 (四)电子综合技能(信号发生器制作)实训 (五)电子综合技能(数字电子钟制作)实训 (六)计算机仿真实训 (七)家用电器维修实训 《电子基本技能实训》教学大纲 实训名称:电子基本技能实训实训课代码: 实训周数:一周实训学分:1 适用专业:工科类修电子技术课程所有专业,适用于本科 一、实训教学的性质和任务 电子基本技能实训是电子、电气类职业技术教育的重要环节,是培养学生实践技能的重要途径之一。本课程从电子元器件识别、检测,通过万用表、电子测量仪器的使用,焊接元器件装配技术,手工设计印制电路板,使学生得到一个基本的实践技能训练机会,为以后的实验、实训课程打下基础。 主要目的和任务:

(一)、掌握电子技术应用过程中的一些基本技能。 (二)、巩固、扩大已获得的理论知识。 (三)、了解电子设备制作、装调的全过程,掌握查找及排除电子电路故障的常用方法。 (四)、培养学生综合运用所学的理论知识和基本技能的能力,尤其是培养学生独立分析和解决问题的能力。 二、实训教学的主要内容和基本要求 1、电子基本技能实训教学的主要内容及知识、能力、素质的基本要求: (一)、熟练掌握指针式万用表和数字式万用表的使用方法及注意事项。 (二)、熟练识别各种电子元器件;了解各种元器件的作用、分类、性能及其参数。(三)、用万用表对各种元器件进行测试和判别。 (四)、会查阅电子元器件相关手册。 (五)、掌握各种仪器仪表的操作步骤;了解各种仪器仪表的使用注意事项 (六)、掌握各种焊接工具的使用及维护。 (七)、熟悉电子产品的安装及手工焊接技术,能独立完成电子元器件的拆、装、焊。(八)、能独立完成简单电子产品的安装与焊接。 (九)、熟悉电路板的设计原则;了解印制电路板的制作过程。 (十)、掌握简单电子产品(LC振荡器)原理图的绘制。 2、电子基本技能实训教学方法手段的基本要求: 将该实训分成教学模块,由老师逐块讲解示范,再由学生动手实际操作,老师布置实训任务,学生在规定时间内完成,教师随时指导检查,最终使学生熟练掌握该实训的全部内容,并写出实训总结报告。 3、电子基本技能实训教学考核方法的基本要求: 在规定时间内完成实训任务,并且准确设计合理的,成绩优秀(10分); 在规定时间内完成实训任务,但有错误能及时发现并改正者,成绩良好(8分); 在规定时间内完成实训任务,但错误未能改正者,成绩及格(6分); 未能在规定时间内完成实训任务者,成绩不及格(4分)。 各次考核成绩最终汇总量化,同出勤、课堂表现成绩一同计入总成绩。 出勤:10分课堂考核:50分(10分/次*5次) 课堂表现:10分实训总结报告:30分

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

数字逻辑电路设计及应用

数字逻辑电路设计及应用 C程序设计报告(1) [问题]: 设计一个C程序实现任意十进制数到二进制数的转换,二进制精度为11位。 [思路]: 1.十进制数转二进制数对整数和小数的处理时不一样的。所以设计程序时,也应该对读入 的整数和小数的数据分开处理。(分开的办法可以先直接对浮点数强制类型转换,即可得到整数部分,再用浮点数减整数部分,即可得到小数部分)。 2.对于整数部分,采用的是“除2法”(不知道是不是这个名字……)。即,每次将该数除 以2,得到的余数作为该位的二进制数,商作为下一次的除数,依此类推,直到商为1或0为止。 3.对于小数部分,采用的是“乘2法”(依然不知道是不是这个名字)。即,每次将小数部 分乘2,得到的整数部分即为该位的二进制数,小数部分为下一次的乘数。依此类推,这样做下去是一个无限不循环的小数,所以一般会要求二进制数中小数的精度,本题目要求的是11位。 4.在实际程序设计过程中,我发现了这样一个问题,当小数部分二进制码采用浮点型数据 时,单独输出准确无误,但与整形的整数部分二进制码结合在一起后,最后3位总是不准确的,怀疑是在相加的过程中产生了“大数吃小数”的问题。按照一般思维,此时应提高精度,采用long double型变量,但是我采用的编译器是采用Windows C的运行库(MS C编译器)的MinGW,其对printf函数不支持long double型。无奈之下,我只能把小数部分存为一个11位长的数组,再对其输出。 [流程]: [程序]:

/******************************************************************** /* this is a program to transform decimal nubers to binary nubers. /* Huang Bohao /* 将小数部分用数组形式存储,避免了整数部分与小数部分相加而出现的 /*大数吃小数的情况 ********************************************************************/ #include <> int Integer2Binary(int integer); ,Bina ryInt); for(i = 0; i < 11; i++) printf("%d",BinaryFraction[i]); printf("\n"); } /******************************************************************** /* function name: Integer2Binary /* input parameter: int integer (integer waiting to be transformed) /* output parameter: int output (transformed integer) ********************************************************************/ int Integer2Binary(int integer) { int B,Y,output,flag; //B被除数,Y为余数,output为输出数据,flag为位置标记位

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

《数字电子技术与项目实训》课程标准

《数字电子技术与项目实训》课程标准 一.课程信息 课程名称:数字电子技术与项目实训课程类型:(电子信息工程技术专业必修课) 课程代码:()授课对象:(电子信息类专业) 学分:(4学分)先修课:(《电路分析》《模拟电路技术基础》) 学时:(64学时)后续课:(无) 制定人:胡智林制定时间:2011年7月15日星期五 二.课程性质、任务和目的 《模拟电子技术基础》是电子技术的专业基础课。通过本课程的学习,学生将具备数字电路的逻辑分析与设计的基本知识,掌握数字系统中常用功能部件的应用分析、逻辑设计与仿真测试等基本技能,为后续课程,如数字系统设计、计算机组成原理、微型计算机接口技术等打下坚实的基础。 课程的目的是在讲解逻辑电路基本概念和基本知识的基础上,培养学生使用各种逻辑分析与设计的工程方法和工程工具,学习典型逻辑功能部件的内部结构,掌握其工作原理,可以承担小型数字电路分析或设计的简单应用课题。 课程的任务是引入必要的数制和码制知识,通过逻辑代数的基本定律、规则、常用公式的介绍,建立数字逻辑的基本概念,进而深入学习组合电路、时序电路分析与设计中的逻辑工具、基本方法以及仿真软件的测试技术。使学生不仅掌握典型电路的传统分析与设计技术,而且掌握现代数字系统中重要的仿真分析及测试方法。 三.课程设计 (一).课程目标设计 1.知识目标 (1)熟悉数字电子技术的有关基本概念、术语;了解逻辑代数基本定律和逻辑函数的公式法化简及卡诺图化简。 (2)掌握TTL和CMOS门电路及各种集成触发器的逻辑功能和外特性。 (3)掌握常用组合逻辑电路的功能及分析方法,学会一般的组合逻辑电路的设计方法(用SSI和MSI 器件);掌握常用的时序电路的功能及分析方法,学会同步计数器的设计方法。 (4)熟悉常用脉冲波形产生与变换电路的工作原理及其应用。 (5)了解A/D,D/A电路及半导体存储器、可编程逻辑器件的原理及其应用。 (6)具有正确使用脉冲信号发生器、示波器等实验仪器的能力。

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

数字电子技术基础与实训

实训五. 组合逻辑电路应用:代码的转换 一. 实训目的 1. 用集成逻辑门电路设计一个8421码转换成格雷码的组合电路; 2. 用超前进位的74HC283四位加法器完成代码的转换。 二.实训仪器设备 1. CD4520、74HC86、74HC00各一块; 2. 通用数字逻辑电路实验箱; 3. MSO 示波器一台。 三. 实训原理 1. 格雷码是计算机传输中运用的码制,因为它可以在代码传输过程中避免出现差错,因此 8421码与格雷码之间的转换是相当重要的。 经卡诺图化简后得到简化的逻辑表达式: ()()()()D C B A G F DCBA D G F DCBA D C G F DCBA C B G F DCBA B A ==?==迮==迮==迮(8~15)(4~11)=(2~5,10~13)=(1,2,5,6,9,10,13,14)= 我们可以得到图5-1电路(上)。其中4520是双十六进制数计数器。(图5-1电路可以将两 个实验同时完成。)也可以用逻辑开关代替CD4520计数器输入逻辑电平。

图5-1 2. 将一位十六进制码转换成8421BCD码,可以通过软件编程来完成。BCD码是可以直接用数 码显示出让人们熟悉的十进制数,而十六进制数显示则不太习惯,因此将十六进制转换成十进制是有非常重要的意义。转换的规则非常简单,当输入一位十六进制数值超过十以后让其进位就可以了,但如果是多位十六进制数转换成十进制数则要用其它方法。实现一位十六进制转换成十进制电路如图5-1电路(下)所示。也可以用逻辑开关代替CD4520计数器输入逻辑电平。 四. 实训内容与步骤 (1)实训内容 1. 按图5-1电路(上)所示实现8421转换成格雷码,输入脉冲频率以可以看清转换 前后字形为准(约1Hz),或手动输入脉冲。注意5420输出的8421码权,D是最高 位,对应数码显示输入端(8);A是最低位,对应数码显示输入端(1)。注意芯片 内两个计数器的编号,如1D、1CLK分别是第一个计数器的输出与输入脉冲端。 8421BCD码与格雷码之间转换电路有多种,因此,实现这一个内容可以有不同形式, 总之,以实现一个逻辑电路所采用的组合集成门越少、传输路径越短越好,同时也 要考虑有无竞争冒险可能出现,(有关这方面知识,请仔细阅读相关书籍)。 8421码与格雷码关系如图5-2所示: 图5-2

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:黄土标黄维超蔡荣达孙清玉 指导老师:麦山 日期:2013/12/27 摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支 持手动清零和校正的功能。 关键词数字电子钟;计数器;GAL 4040芯片;M74LS125AF三态门 1设计任务及其工作原理 1.1设计任务 设计一台能显示时,分,秒的数字电子钟。 技术要求: (1)秒、分为00?59六十进制计数器

⑵时为00?23二十四进制计数器 (3)可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入校正。并且可以手动按下脉冲进行清零。 1.2 工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用 GAL16V8D设计成六十进制计数器和用GAL22V10秒的个位,设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2 电路的组成 2.1计数器部分:利用GAL16V8[和GAL22V1(芯片分别组成二十四进制计数器和六 十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D 和GAL16V8D 4040芯片和M74LS125AF三态门芯片设计一个分频器,使连续输出脉 冲信号时间间隔为0.5s

数字逻辑电路课程设计报告

湖北文理学院理工学院数字逻辑电路课程设计报告 课题名称八路智能抢答器 姓名(学号) 组号 专业 2014年 9 月 18 日

【摘要】 随着科技的日新月异,促使人们开始学科学、学技术、学知识。抢答器是一个机关学校开展智力竞赛活动必不可少的设备,广泛应用于学校、教育部门、企事业工会组织、俱乐部等单位组织举办各种知识、技术竞赛及文娱活动时作抢答使用。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及报警电路。抢答器数字优先编码电路由D1-D12组成实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由CD4511内部电路译码成十进制数在数码管上显示。经过布线、焊接、调试等工作的八路数字智能抢答器成形,更具有实用性。 本文介绍了一种用CD4511系列常用集成电路设计的数码显示八路抢答器的电路组成、设计思路及功能。该抢答器除具有基本的抢答功能外,还具报警功能。若在规定的时间内有人抢答,则计时将自动停止,数码管显示成功抢答者代码;若不在规定时间内有人违规抢答,则系统中的蜂鸣器将发响,数码管显示违规抢答者代码;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能。 【关键字】 八路智能抢答器、CD4511、NE555、数码显示管、设计、报警电路、数字编码电路

目录 1、设计题目 八路智能抢答器计 (3) 2、设计目的及要求 2.1 设计目的 (3) 2.2 设计要求 (3) 3、方案论证 3.1.电源部分 (3) 3.2.电路部分 (3) 4、设计思路 4.1八路智能抢答器的结构框图 (4) 4.2八路智能抢答器的工作流程 (4) 4.3八路智能抢答器的工作过程 (4) 5、设计原理 5.1设计中的数字编码电路 (5) 5.2设计中的译码/优先/锁存电路 5.2.1CD4511的引脚 (6) 5.2.2译码驱动功能 (8) 5.2.3锁存优先功能 (8) 5.3抢答器设计中的数码显示电路 (9) 5.4抢答器设计中的报警电路 (10) 6、设计的装备与调试 (12) 7、设计完成的电路图 7.1八路智能抢答器工作原理电路图 (12) 7.2八路智能抢答器实物图 (13) 8、设计所需的元件清单 (13) 9.设计心得与体会 (13) 10.参考文献 (14)

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

电子时钟设计报告

电子时钟设计报告Last revision on 21 December 2020

电子时钟设计报告 1 设计任务与要求 设计任务 用STM32设计一个数字电子钟,采用LCD12864来显示并修改,时间或闹铃。 设计要求 1)显示功能:可显示时间等基本功能。 2)具有闹铃功能。 3)按键改变时间。 4)按键改变闹铃。 5)温度的显示。 2 方案设计与论证 整个系统用stm32单片机作为中央控制器,由单片机执行采集内部RTC 值,时钟信号通过单片机I/O口传给TFT彩屏,单片机模块控制驱动模块驱动显示模块,通过显示模块来实现信号的输出。系统设有按键模块用于对时间进行调整及扩展多个小键盘。

显示电路 方案一:TFT彩屏。显示质量高,没有电磁辐射,可视面积大,应用范围广,画面效果好,数字式接口,“身材”匀称小巧,功耗小。 方案二:数码管动态显示。动态显示,即各位数码管轮流点亮,对于显示器各位数码管,每隔一段延时时间循环点亮一次。利用人的视觉暂留功能可以看到整个显示,但须保证扫描速度足够快,人的视觉暂留功能才可察觉不到字符闪烁。显示器的亮度与导通电流、点亮时间及间隔时间的比例有关。调整参数可以实现较高稳定度的显示。动态显示节省了I/O 口,降低了能耗。 从节省单片机芯片I/O口和降低能耗角度出发,本数字电子钟数码管显示选择设计采用方案一,既TFT彩屏显示。 电源电路 本数字电子钟设计所需电源电压为直流、电压值大小5V的电压源直接用mini USB通过电脑USB接口供电。 按键电路 本数字电子钟设计所需按键用于进行显示时间的调整与设置扩展的小键盘。 单片机芯片4个I/O口可与按键直接相连,通过编程,单片机芯片即可控制按键接口电平的高低,即按键的开与关,以达到用按键进行显示时间的调整与设置扩展的小键盘的设计要求。

电子技术课程设计

摘要 本次课程设计彩灯控制器是对模拟电子技术、数字电子技术的实践性的应用。该彩灯设计主要由几个器件构成,分别是移位寄存器、计数脉冲、分频器、数据选择器等器件。通过着几个主要器件来实现对彩灯的设计和控制。彩灯的设计主要有三部分组成。即时钟脉冲产生电路模块、彩灯开关控制模块以及花样输出电路模块。其中时钟脉冲由555定时器构成的多谐振荡器产生。彩灯开关电路设计模块应用数据选择器74LS163。花样输出由移位寄存器74LS194和发光二极管组成。为了验证设计的准确性,我们在Proteus环境下进行仿真和调试。通过验证进一步确定其设计的可行性。 关键词:彩灯;时钟脉冲产生电路模块;彩灯开关控制;花样输出电路

目录 摘要.............................................................................................................I 1 前言 (1) 1.1 序言 (1) 1.2目前彩灯的应用情 (1) 1.3主要工作概述 (1) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3 单元电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生 (7) 3.3 移位输出显示电路 (11) 4 调试与试验 (14) 4.1 Proteus软件介绍 (14) 5 proteus仿真图 (15) 6致谢和心得体会 (16) 参考文献 (17)

1前言 1.1 序言 集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的可靠性,降低成本。因此,用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观,小型的彩灯多采用霓虹灯电路。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,也可以做成各种各样和多种色彩的灯管或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,常采用长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的现实意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明等。 1.3主要工作概述 本文所要设计的八路彩灯的功能要求是通过手动开关操作,实现彩灯的两亮两灭

相关文档
最新文档