多模分频器

多模分频器
多模分频器

多模分频器,Multi-Modulus Divider

一直以来,对可编程分频器的理解始终停留在本科数电课上学到的“可清零计数器+比较器”的级别上,直到最近学习了多模分频器(multi-modulus divider,MMD),才发现之前的结构简直弱爆了,我对最早提出MMD结构的人佩服得真是五体投地。为了让更多的初学者了解MMD,我准备分3~4篇blog的篇幅介绍下MMD。

fin为MMD的时钟输入端,P[n:0]为控制字;如果仅从功能上来讲,f(n+1)以及mod0~mod(n)均可作为MMD的分频输出。

MMD的基本组成单元只有一种,“可编程除2/除3分频器”(简称DIV23)。其基本功能描述为:

当modi=0时,fo为fi的二分频输出,modo恒为0;

当modi=1时,

如果P=0,fo为fi的二分频输出,modo为fi的二分频输出;

如果P=1,fo为fi的三分频输出,modo为fi的三分频输出。

注意:对于modo的特性,简而言之,就是fo信号经modi选通(与逻辑)之后的输出信号。理解这一点非常重要!

当n个DIV23按照下图的方式串联起来之后,根据P[n-1:0]的编码,可以实现从2^n~2^(n+1)-1步长为1的可编程分频比。为了理解这一过程,我们先来看一个简单的例子:只有两级DIV23的MMD,从左至右分别称之为第一级DIV23和第二级DIV23。

注意:假定DIV23的fo信号变化由fi的下降沿触发(具体原因以后会谈到)。

Case1:P[1:0]=00

显然,f1为fin的2分频;而f2和mod1同为f1的2分频;而对于第一级DIV23来说,当mod1=0时,mod0=0,经过两个fin周期后,mod1变高,由于P=0,需要再经过1个fin周期,f1才会再度变高,此时满足f1与mod1同为高,则mod0输出宽度为1个fin周期的高电平,mod=1的时间总共为2个fin周期,与P=0对应。整体效果上mod0为fin的4分频输出。

Case2:P[1:0]=01

与case1相比,不同之处在于当mod=1时,第一级DIV23进入3分频模式,需要多经过1个fin周期f1才会变高。最终实现5分频的效果。用术语来讲,就是第一级DIV23“吞咽(swallow)”了一个fin周期。

Case3:P[1:0]=10

f1为fin的2分频,第二级DIV23由于P=1且modi=1,在每个分频周期内swallow一个f1周期,即2个fin周期,最终实现6分频。

Case4:P[1:0]=11

在每个分频周期内,第二级DIV23将swallow一个f1周期,第一级DIV23将swallow一个fin周期,总计3个fin周期,最终实现7分频。

将上述结论一般化:

1,第n+1级DIV23吞咽一个脉冲的权重是第n级的2倍;因此,对于一个由n级DIV23组成的MMD,其最多可以吞咽1+2+…+2^(n-1)=2^n-1个fin周期。

2,另一方面,其最小的分频比为所有级均不吞咽脉冲时获得,此时所有DIV23均为2分频,最小分频比为2^n

根据上述两条,则该MMD的可编程分频比范围为2^n~2^(n+1)-1。

经典的DIV23的电路实现可以参考Cicero S.Vaucher,Igor Ferencic,Matthias Locher,

的“A Family of Low-Power Truly Modular Programmable Dividers in Standard0.35-_m CMOS Technology”。

本文会讨论如何设计一个DIV23电路:

1、首先我们需要两个不同的状态转移图来实现除2及除3,对于使用两个寄存器的设计,忽略自启动路径以及合并可以通过重命名状态名获得的转移图,可能的设计只有下图左侧和中间的两种,其中红色为/2路径,蓝色为/3路径,黑色为共同路径。

我曾经担心modi的变化会不会打断现有的/2、/3循环导致状态混乱,但是,从上面的转移图来看,决定/2、/3的分岔路口都只有B状态一个,因此,不妨假定所有的/2、/3周期都是从B状态开始,只有当完成一个/2、/3周期之后再回到B状态后才有可能开始下一次/2、/3分频。

注意:对于一个DIV23单元,只有1种设置(modi=1,P=1)对应/3模式;而有3种设置(modi=1,P=0,或者modi=0,P=0/1)都对应/2模式。如果三种/2模式的状态转移图不同,则需要仔细的考虑。对于上面的经典结构,其完整的状态转移图如上图最右侧所示,3种/2模式恰好对应同一个状态转移图,可是这是否是必须的呢?

2、之前提过,modo是fo经modi选通之后的输出信号,考虑这样一种情况:modo=fo& modi(简称fo高选通),fo在fi的上升沿改变(简称fo正触发),modo在fi的上升沿改变(简称modo正触发),则

(a)最终mod0的上升沿的产生,需要“1”信号从mod(n)开始逐级向前传播(此时各级的fo均为选通状态,即"1",因为fo和modo均为正触发),传播延时很大,附加抖动也随之变大;

(b)在mod(n)回0的过程中,需要f(n)的一个上升沿触发后级是mod(n+1)归0,但在f(n)变高但mod(n+1)尚未变低的过程中,mod(n)上会出现glitch。

3、与上一条的(b)情况类似,如果同时满足fo高选通以及modo正触发,采用fo负触发虽然可以保证时序正确,然而仍然无法避免在电路的某些内部节点产生glitch,不利于power 以及noise。

4、对于情况:fo高选通,fo负触发,modo负触发,虽然功能以及glitch上都没有问题,

但是,这种情况下,所有的mod(0)~mod(n)信号的下降沿是同时发生的,我认为这会导致加大的Ipeak。

综上所述,似乎只有(fo高选通,fo正触发,modo负触发),以及对偶情况(fo低选通,fo负触发,modo正触发)是比较好的选择,经典结构恰好是后一种。

5、modo信号的占空比不应该超过50%(事实上这是针对/3模式说的,/2模式下modo占空比必然为50%),原因很简单,因为modo与前级的modi相连,modi=1表示进入吞咽模式,而MMD的每个DIV23单元在一个分频周期内应该只进行一次吞咽操作。

6、fo信号的占空比可以任意值。

7、对于正向的f1~f(n+1)信号通路,时钟jitter会不断的累积,因此f(n+1)通常不会用作分频输出。解决的方法是,在每一级DIV23内使用fi采样modo信号,这样前几级的modo 信号就会获得较好的噪声特性,可以用作分频输出。

扩展MMD的分频范围

标准的多模分频器,例如一个4级的结构,分频比范围为16~31;而一个3级的结构,分频比范围为8~15;……。既然实现小分频比的MMD需要的DIV23单元更少,很自然的,我们希望可以以较小的改动,在实现最高分频比的MMD的基础上通过禁用部分的DIV23实现分频范围向下扩展,这似乎是一个一本万利的事情。

实现方式也很简单,通过观察上图,如果我们通过附加逻辑,强制将mod(n)信号钳制在‘1’,其效果就相当于只有mod(n)信号之前的n级DIV23构成了一个MMD,之后的DIV23则都被无视了。剩下的工作就是设计逻辑了,如下图。采用分频比扩展之后,MMD的分频范围可以达到2~2^(n+1)-1。

注1:为了避免对DIV23速度的影响,没有在DIV23内部反馈路径(更精确的讲,是/3路径)上插入逻辑。而且,由于mod传播路径上抖动不累加,该路径上增加一级逻辑门并不降低噪声性能(第一级DIV23单元保持原有结构不变)。

(再注:后来又想,好像在DIV23内部/3路径上插入逻辑门未尝不可:既然第一级DIV23保持不变,后级的DIV23最多工作在fin/2频率,完全可以容忍插入逻辑门导致的速度下降。)注2:上面的方法仅仅在mod信号链路上粗暴的拦腰一刀,切断点后方被“禁用”的DIV23单元事实上还在工作。因此,更进一步的做法可以在时钟通路上也加上使能逻辑,disable 被禁用DIV23单元的输入时钟,降低功耗。逻辑不难实现,本文图略。

截至目前,我们的MMD功能已经十分强大了。但是,还有但是,MMD分频输出时钟的正脉宽始终只有输入时钟信号的一个周期长度,因此在大分频比条件下输出信号占空比非常不和谐。对于这个问题,有几个解决思路:

(一)相对于mod0信号,后级的mod信号具有较好的占空比,但是具有较大的jitter。解决的方法是,将后级的mod信号通过重采样与fin同步。由于每级DIV23的CKàQ延迟在PVT条件下会有很大变化,如果DIV23链很长,不能使用fin直接重采样mod(n),而通过逐级重采样将mod(n)传递至最左端时,要求每级DIV23至少增加一个latch,即增加大约25%的功耗。

(二)考虑在原MMD的输出端再加一级DFF构成的2分频单元。通过2分频自然可以获得50%占空比,但是加上一个单纯的2分频电路后,似乎只能做偶数分频了(例如/4、/6、/8…)?类似于MMD吞咽脉冲的思想,有一个办法可以巧妙地克服这个缺陷,示意图如下:

当P[0]为0时,DIV23做恒定2分频,对应于整体电路实现所有的4n分频,(n>=1),即fdiv 正半周期2n,fdiv负半周期2n;而P[0]为1时,DIV23单元在fdiv低电平与高电平时间段交替做/2和/3操作,对应于整体电路实现所有的4n+1分频,(n>=1),即fdiv正半周期2n,fdiv 负半周期2n+1。由上述分析可以看出,该电路尚不能实现4n+3分频,因为在2n,2n+3或者在2n+1,2n+2之间切换分频比均需要改变后级DIV23的P输入信号。不得已,我们可以使用一个如下图所示的DIV234单元,其功能与DIV23类似,区别在于,当modi=1时,P[1:0]=00,/2分频;P[1:0]=01或10,/3分频;P[1:0]=11,/4分频,由此就可以实现4n+3分频。该方法的实现的占空比误差可以做到小于一个fin周期,不过,由于DIV234单元结构一般较DIV23单元复杂,最高运行速度的瓶颈通常在DIV234单元。

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

分频器的简易计算与制作

分频器的简易计算与制作 一、分频器的计算 1.1阶分频器及其计算 通常采用1阶(6dB/Out)3dB降落点交叉型、其特点是高、低通和带通滤波器采用同值的L和C L=R/2πf c=159R/f c (mH) C=1/2πf c R=159000/f c R(μF) 2. 2阶分频器及其计算 (1)3dB降落点交叉型 f c=225R/f c(mH) f c R=113000/f c/R(μF) (2)6dB降落点交叉型 只需将高、低通滤波器的f c向上和向下移到1.3f c和0.76f c位置

L=22FR/f c0.76=296R/f c(mH) C=113000/0.76f c R=148000/Rf c(μF) 3.阻抗补偿电路的计算(C为无极性电容) (1)以音圈电感为主要依据 R=R o(喇叭阻抗) C=L bm/R e2(μF) ( L bm为音圈电感量、R e为音圈直流电阻) (2)以某个频侓点的阻抗为主要设计依据 R=R o(喇叭阻抗) C=159000Z/FR2 (μF) F为最佳的阻抗补偿点频率,一般选在单元曲线上升幅度达6dB处。 即比额定阻抗大一倍处。Z为f处的阻抗(即Z=2R o) 二、常用分频器的相位特性 1. 1阶?3dB降落点交叉型 高通部分相位旋转至+45,低通部分旋转至?45、两者有90的相位差,高低单元在分频点附近的辐射声有部分被抵消,一般取?3dB落点处交叉。 2.2阶?6dB降落点交叉型

高低单元应反向连接,一般取?6dB落点处交叉。 3.非对称? 4.5dB落点交叉型(1阶低+2阶高) 高通部分旋转至90、低通部分旋转至?45,若同向相接则相位差为135、反向则为?45,正好可校正到低单元平面排列时产生的+45相位差。 三.电感线圈制作数据220

音响方面的一些小知识

收集整理的一些小知识: 音源:音源就是提供声音的来源,就是因为音源本身信号较微弱,而要满足人们需要的响度就需要进行扩大声音,所以才有扩声系统的出现。一般音源有CD ,DVD,VCD,话筒拾取的信号等等, 调音台:英文(MIXER/MIXING),是专业音响系统中必不可少的设备,它主要起着四个作用:1,信号混合,2信号分配。3信号处理(也就是所谓调音),4信号放大。它在系统中主要起将小电压信号进行放到大我们需求的电平大小,然后将多路信号进行任意分配再进行混合输出(也就是矩阵结构)。尤其是放大这里,本人看过一些资料,有一个形象的比喻很贴切,就是增益这里就好像一条河一样,当河中的水比较浅时,这样水在通过河时就容易将河中的泥沙一起带走冲走,如果河中的水较深时,河水通过河道时就比较清澈见底,同样泥沙也就沉底了,没有被河水一同冲走,流走。这里的泥沙就是输入通道或其它的噪声,而河水就是我们处理的信号。所以增益的作用也就提高了系统的信噪比,降低了系统的噪声。还有可以将信号源进行音色方面的调整,也就是快速简单的调音工作就是在调音台上的参量EQ进行的。在系统中就好像是一个加工工厂一样。 均衡器:英文(EQ),EQ分两种,一种为图示均衡器(GEQ),另一种为参量均衡器(PEQ)。均衡器就是将全频段信号分为多个小频段,再分别对以一个频点为中心频率地对频段进行相应的提升和衰减,也就是GAIN。在系统中主要起对声场中的不均匀(声场中频率的传输特性引起的)各种缺陷进行补偿,使声场达到频响平坦和均匀。还可对音响设备(如音箱)自身的频响性能进行补偿与校正及对节目源的音色调节。另外在扩声系统中对啸叫的控制也是EQ的作用,如舞台返听用的EQ就是此作用。它在系统中把它称为频率处理设备。 压限器:英文(COMP/LIMITER),又称为压缩限幅器(COMP)。将压限器串接在系统中EQ之前,主要起着对节目信号的压缩作用,此时称为压缩器。对节目中突发的强信号进行按照一定比例进行压缩输出,还可以使整个节目的音量保持平稳(比如话筒离嘴的距离会不一样,这样就造成节目信号电平大小不一)。另一个作用就是调节节目的软硬效果(如迪厅,舞厅等)使节目更具振憾感。软硬效果的调节需要结合压缩器的压缩比,起控时间及释放时间的结合调节才能达到各种意想不到的效果。它在音响系统中主要称为动态处理设备。

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

限幅器的作用

什么是限幅器 微波限幅器是一种自控衰减器, 是一种功率调制器件。当信号输入功率较小时无衰减通过,当输入功率增大到超过某一值时,衰减会迅速增大,这一功率值称为门限电平,输入功率超过门限电平后,输出功率不再增加。实际工作中,限幅器基本上都是为整机需要而专门设计的。常用于微波扫频信号源或相位检测系统中,使输出信号幅度保持稳定。功率限幅器设计用于通信、遥感、雷达系统和高频仪器领域电子元件的输入保护。它针对不同的工作频率、需承受的微波功率、微波脉冲宽度、占空比等要求进行设计.微波限幅器通常用在接收机的放大器或混频器的前面保护它们,免受强信号的影响而烧毁。在扫频仪或测相系统中可使输出幅度保持恒定。限幅器一般由输入端口和输出端口上的隔直流电容器和集成式二极管限幅器电路组成。集成电路包含着透过50欧姆传输线并联的平面掺杂阻挡层(PDB)或Schottky二极管。限幅器在低输入电平时有很低的插入损耗和线性特性,可提供对瞬态或短时间过载的保护。它们有很低的插入损耗和回波损耗,可为您的设备提供安全保护,避免因过大射频功率、直流电压瞬变或静电放电(ESD)导致损坏。 微波限幅器主要参数定义 1.限幅电平:限幅器开始限幅时的功率值。 2.插入损耗:输入电平低于门限电平时输入信号损耗,一般在-10dBm 下测试。 3.承受功率:能承受的最大输入功率(脉冲功率,脉冲平均功率,连续波功率)。 4.恢复时间:以输入脉冲终止开始,到限幅器损耗比插入损耗大3dB为止的时间。

限幅器原理是什么? 理想限幅器是一个无记忆的非线性电路。理想限幅器应具有放大和限幅的双重功能,且要求其放大量为无穷大、限幅是瞬时的。通常限幅器是由非线性限幅器件和一个带通滤波器组成,调频波通过它时,首先由非线性器件将其超过限幅电平E的那部分幅度切去,然后经带通滤波器滤出其基波分量,以使输出电压的频率仍和输入的频率一致。实际设计中,我们采用在一个近似中频带宽的限幅器中加入适量的正反馈,就能够明显地改善它的削弱比,起到几级无正反馈但其它结构相同的限幅器的作用。 限幅器常用在接收设备的前级,对超过门限的大功率输入信号限幅,起到保护后级敏感电路和器件的作用。限幅器的峰值输入功率是在脉冲调制占空比为1%(脉宽10μS,6GHz 以下;脉宽1μS,6GHz 以上)的条件下测试的结果。插损和驻波比是在输入连续波功率-10dBm的条件下测试的结果。 压缩/限幅器的调整及应用 人类的听感动态范围能承受的最大响度和能感受的最安静声音响度的范围可达100万:1(即106倍)听感的动态范围达120dB。扩声系统声音重放的动态范围由于受电子设备的限制,远比人耳的动态范围小很多。最低声音的响受系统中不相关噪声的限制,使小的声音信号淹没在噪声中而无法听到;最大声音的响度受信号削波的限制,使音乐信号中的特大峰值被“砍头”(削波),不仅

实验一 QUARTUS II入门和分频器设计

实验报告 课程名称EDA技术与VHDL设计 实验项目Quartus II入门 实验仪器计算机、Quartus II 系别信息与通信工程学院 专业电子信息工程 班级/学号电信1201 / 2012010970 学生姓名张宗男 实验日期 成绩 指导教师

实验一 QUARTUS II入门和分频器设计 一、实验目的 1.掌握QUARTUS II工具的基本使用方法; 2.掌握FPGA基本开发流程和DE2开发板的使用方法; 3.学习分频器设计方法。 二、实验内容 1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。 三、实验环境 1.软件工具:QUARTUS II 软件;开发语言:VHDL; 2.硬件平台:DE2实验开发板。 四、实验过程 1.设计思路 (1)、 18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、 实现10分频IF(count="1001") THEN count<="0000"; clk_temp<=NOT clk_temp; 达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。 2.VHDL源程序 (1)、 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

音响系统的组成设备的用途与认知

音响系统的组成设备的用途与认知 音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。 音响系统的组成 音响系统由监听调音台;功放调音台;便携式调音台;功率放大器;动圈话筒;电容话筒;无线话筒;音箱;监听音箱;功放音箱;超低音箱;均衡器;混响器;效果器;延时器;压缩器;限幅器;分音器;噪声门;激光唱机;录音卡座;影碟机;投影机;变调器;点歌器;耳机等众多设备组成。 扬声器有多种分类式:按其换能方式可分为电动式、电磁式、压电式、数字式等多种;按振膜结构可分为单纸盆、复合纸盆、复合号筒、同轴等多种;按振膜开头可分为锥盆式、球顶式、平板式、带式等多种;按重放频可分为高频、中频、低频和全频带扬声器;按磁路形式可分为外磁式、内磁式、双磁路式和屏蔽式等多种;按磁路性质可分为铁氧体磁体、钕硼磁体、铝镍钴磁体扬声器;按振膜材料可分纸质和非纸盆扬声器等。 箱体用来消除扬声器单元的声短路,抑制其声共振,拓宽其频响范围,减少失真。音箱的箱体外形结构有书架式和落地式之分,还有立式和卧式之分。箱体内部结构又有密闭式、倒相式、带通式、空纸盆式、迷宫式、对称驱动式和号筒式等多种形式,使用最多的是密闭式、倒相式和带通式。 分频器有功率分频和电子分频器的区别,两者主要作用都是频带分割、幅频特性与相频特性校正、阻抗补偿与衰减等作用。功率分频器又叫无源式后级分频器,是在功率功放之后进行分频的。它主要由电感、电阻、电容等无源组件组成滤波器网络,把各频段的音频信号分别送到相应频段的扬声器中去重放。它的特点就是成本低,结构简单,适合业余之作,缺点就是插入损耗大,效率低,瞬态特性较差。 功放俗称“扩音机”他的作用就是把来自音源或前级放大器的弱信号放大,推动音箱放声。一套良好的音响系统功放的作用功不可没。功放大体上可分为三大类“专业功放”“民用功放”“特殊功放”。 麦克风的作用就是把声音转接化成音频,在通过音箱播放出来,有录音,把声音放大的作用。话筒的种类:话筒按其结构不同,一般分为动圈式、晶体式、炭粒式、铝带式和电容式等数种,其中最常用的是动圈式话筒和电容式话筒,前者耐用、便宜,后者娇嫩、价格高、但特性优良 凡是对再现声进行种种放大和加工处理的设备均为音响设备。它们有如下的类别: 艺术加工类:包括调音台、混音器等。 音质补偿类:包括均衡器、激励器等。 动态处理类:包括压缩器、限制器、扩展器、噪声门、自动增益控制器等。 声音美化类:包括各种效果机。 扩大还音类:包括功率放大器、音箱、耳机、电子分频器等。音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。音响大概包括功放、周边设备(包括压限器、均衡器、延时器激励器混响器放大器分配器处理器效果器VCD、DVD等)、扬声器(音箱、喇叭)调音台、麦克风、显示设备等等加起来一套。其中,音箱就是声音输出设备、喇叭、低音炮等等。一个音箱里包括高、低、中三种扬声器,三种但不一定就三个。音源类:包括有线传声器、无线传声器、卡座、电唱机、CD机、VCD/LD/DVD机、录象机、电子乐器等。

小数分频器原理

基于CPLD/FPGA的半整数分频器的设计 在数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率进行分频,以得到所需的频率。整数分频器的实现非常简单,可采用标准的计数器,也可以采用可编程逻辑器件设计实现。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。比如:分频系数为2.5、3.5、7.5等半整数分频器。笔者在模拟设计频率计脉冲信号时,就用了半整数分频器这样的电路。由于时钟源信号为50MHz,而电路中需要产生一个20MHz的时钟信号,其分频比为2.5,因此整数分频将不能胜任。为了解决这一问题,笔者利用VIDL硬件描述语言和原理图输入方式,通过MAX+plus II开发软件和ALTERA公司的FLEX系列EPF10K10LC84-4型FPGA方便地完成了半整数分频器电路的设计。 2 小数分频的基本原理 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为10.1的分频器时,可以将分频器设计成9次10分频,1次11分频,这样总的分频值为: F=(9×10+1×11)/(9+1)=10.1 从这种实现方法的特点可以看出,由于分频器的分频值不断改变,因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率,而不是一次N分频,一次N-1分频。 3 电路组成 分频系数为N-0.5的分频器电路可由一个异或门、一个模N计数器和一个二分频器组成。在实现时,模N计数器可设计成带预置的计数器,这样可以实现任意分频系数为N-0.5的分频器。图1给出了通用半整数分频器的电路组成。

分频器的设计

首先讲一下单元: 一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音 超高音:負責22kHz以上的頻率 高音:負責5000Hz~22kHz頻率、 中音:負責1500~5000Hz頻率 低音:負責1500Hz以下頻率 超低音(增加)負責200Hz以下頻率 也有网友提出其她的划分标准 以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就就是音响上常说的一个倍频程(1oct)。具体的划分就是这样的: 55-110赫兹,110-220赫兹,220-440赫兹,440-880赫兹,880-1760赫兹,1760-3520赫兹,3520-7040赫兹,7040-14080赫兹,共八段(八个八度)。这样就很清晰的瞧出频段的划分了。110赫兹以下-超低频; 110-220赫兹-低频; 220-440赫兹-中低频; 440-880赫兹-低中频; 880-1760赫兹-中频; 1760-3520赫兹-中高频; 3520-7040赫兹-高频; 7040赫兹以上-超高频。 还有两种频段划分方法 以“E”音划分 -20 次低频 20-40 极低频 40-80 低频下段 80-160 低频上段 160-320 中频下段 320-640 中频中段

640-1280 中频上段 1280-2560 高频下段 2560-5120 高频中段 5120-10240 高频上段 10240- 极高频 以“C”划分 -63 极低频 63-125 低频下段 125-250 低频上段 250-500 中频下段 500-1K 中频中段 1K-2K 中频上段 2K-4K 高频下段 4K-8K 高频上段 8K- 极高频 分频器的主要元件:电阻,电感,电容 电阻在分频器中的作用:调整灵敏度 电感:其特性就是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就就是阻挡频率通过

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

小数分频频率合成器的理论基础(翻译)

小数分频频率合成器的理论基础(翻译)

小数分频频率合成器的理论基础 A. Marques _, M. Steyaert and W. Sansen ESAT-MICAS, K.U. Leuven, Kard. Mercierlaan 94, B-3001 Heverlee, Belgium 本文提出了一种基于锁相回路(PLL)频率合成器的演变概述。数字PLL的主要限制的描述,以及随之而来的小数N技术使用的必要性是有道理的。合成频率的旁瓣典型的杂散噪声线的起源进行了解释。它通过使用数字?∑调制器来控制分频值展示了如何消除这些杂散噪声线。最后,数字?∑调制器的使用同分数N PLL的输出相位噪声的影响一起进行了分析。 1.介绍 无线通信领域,在过去十年中有了很大的发展。这种快速发展,主要是通过引进强大的数字信号处理技术。这些技术允许执行复杂的调制解调方案,以及先进的数字校正技术,最终产生非常高性能的系统,可以完全或几乎完全集成在一个标准的低成本技术。 典型的接收器/发射器无线系统RF部分如图1所示。可以看出,在无线系统中,一个或多个频率合成器几乎都是必要的,同时在接收和发射部分。此频率合成器必须不仅能够产生感兴趣

的频带内的所有频率,以及产生具有高纯度的,由于不断下降的频道间距。 图1 典型的射频部分,一个无线接收器/ 发射器系统 在无线系统领域,在过去几年中主要重点一直是在一个完整的系统的全面整合,包括发射器/接收器和频率合成器,使用短沟道CMOS或BiCMOS工艺(见[1,13]其引用)。 因此,频率合成精度高,稳定的需求令人难以置信的增长,特别是对需要的操作频率非常高(在千兆赫兹的范围内)的应用,小频率决议(典型的信道间隔几百万赫兹数100千赫),和低相位噪声数字(100dBc的订单数100千赫载波)。此外,同时,在过去几十年,数字可编程的频率合成器的需求也增加了。

分频器作用及分频点的选择基础

分频器作用及分频点的选择基础 中国汽车影音网2005-7-17 【字体:小大】 分频器的作用: 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 显然,分频电路的这些作用已被人们所认识和接受。 分频点的选择: 1 考虑中低单元指向性实用边界频率f=345/d(d=单元振膜有效直径)。通常8”单元的边界频率为2k,6.5”单元的边界频率为2.7k,5”单元为3.4k,4”单元为4.3k。也就是说使用上述单元,其分频点不能大于各单元所对应的实用边界频率。 2 从高音单元谐振频率考虑,分频点应大于三倍的谐振频率。也就是说从高音单元的角度

出发,通常分频点应大于2.5k。 3 考虑中低音单元高端响应Fh,通常分频点不应大于1/2 Fh。实际上,二分频音箱上述条件很难得到同时满足。这时设计者应在这三者中有一个比较好的折中选择。但必须强调的是,第一个条件即实用边界频率应该优先满足。 4 三分频的情况下,通常应将两个分频点隔得愈远(应在三个倍频程以上),组合后的系统响应会变得愈好。否则,将会出现复杂的干扰辐射现象。 5 低音与中音的分频点应考虑人声声像定位的问题。应使人声的重放尽可能由中音单元来承担,以避免人声的声像定位音色发生过大的变化。这一点往往容易被设计者所忽视。通常这一分频点应为200-300Hz。

基于VHDL的小数分频器设计

技术创新 《微计算机信息》(嵌入式与SOC)2010年第26卷第10-2期 360元/年邮局订阅号:82-946 《现场总线技术应用200例》 电子设计 基于VHDL 的小数分频器设计 The design of decimal fraction frequency divider based on the language named VHDL (淮阴工学院) 李慧 LI Hui 摘要:本文主要介绍了一种基于VHDL 语言的双模前置小数分频器的设计,为了测试的需要同时设计了8位数字频率计,在 MAX-PLUS Ⅱ平台下实现分频器的仿真,并下载到EPF10K10LC84-3芯片中实现。关键词:双模;小数分频器;频率计中图分类号:TP386文献标识码:A Abstract:The design of double module decimal fraction frequency divider based on the language named VHDL is mainly presented in this text.8bit digital cymometer is designed for testing at the same time.Simulate is finished on MAX-PLUS Ⅱ,and download is finished in CMOS CHIP named EPF10K10LC84-3. Key words:double module;decimal fraction frequency divider;cymometer 文章编号:1008-0570(2010)10-2-0192-02 1引言 分频器是数字电路中最基础也是最常用的电路,整数分频器的实现比较简单,可采用标准的计数器或可编程逻辑器件设计实现。但在某些特定场合下,时钟源与所需的频率往往不成整数倍关系,此时就需要采用小数分频器对输入的信号进行分频。而同时本系统可以在不降低参考频率的前提下,提高系统输出频率的分辨率。 2双模前置小数分频原理 用来实现小数分频器的方法很多,但其基本原理都基本一样,即在若干个分频周期中采取某种方法使某几个周期多计或少计一个数,从而在整个计数周期的总体平均意义上获得一个小数分频比,设要进行分频比为K 的小数分频,K 可表示为:K=N+10-n ×X 式中:n,N,X 均为正整数;n 为到X 的位数,即K 有n 位小数。另一方面,分频比又可以写成:K=M/P 式中:M 为分频器输入脉冲数;P 为输出脉冲数。 令,则:以上是小数分频器的一种实现方法,即在进行10n 次N 分 频时,设法多输入X 个脉冲。 3系统模块设计部分本系统主要包括两大部分:一部分是可预置分频系数的小 数分频模块设计,另一部分是8位数字频率计的设计(主要是为了验证设计的结果而辅助设计的一个模块)。具体模块框图如下: 图1系统模块图 4VHDL 程序实现 4.1小数分频模块设计1)、 原理分析以实现7.3分频为例,要实现7.3分频,只要在10次分频中做7(即10-3)次除7,3次除8就可以得到:N=(7×7+3×8)/10=7.3,又如,要实现分频系数为7.32的小数分频,只要在每100次分频中做68(100-32)次除7,32次除8即可得到N=(68×7+32×8)/10=7.32。 由上可知,要实现分频比为N.F 的小数分频,只需要对输入信号做N/N+1分频,考虑到该小数分频器要进行多次N 分频和 N+1分频,那么就设法将两种分频混合均匀,这种“均匀”工作是通过计数器来完成的,在这里只讨论一位小数的情况,下面简要介绍这种混合的方法:每进行一次分频,计数值为10减去分频系数的小数部分, 各次计数值累加。若累加结果小于10,则进行N+1分频,若大于10或等于10,则进行N 分频。 2)、VHDL 语言实现(以1位小数为例) 小数分频器主要由N/N+1分频器、计数器以及控制逻辑几 大部分组成。 界面说明部分定义如下: ENTITY sheji_02IS port(clk:in std_logic;--输入信号num_zg,num_zd:in std_logic_vector (3downto 0);--分频系 数高低位输入,最大输入为15.9 err:out std_logic; fout:buffer std_logic);--输出信号end; 分频系数为num_sheding 的分频器设计:process(clk)is begin if clk'event and clk='1'then if num="0000"then 李慧:讲师硕士 (10)áM K P N X P ?=×=+××10á P =10áM N X =×+192--

音箱中的分音器的作用是什么

音箱中的分音器的作用是什么 汽车使用的叫分频器家庭以及其它场合用的叫分音器,其实质基本相同 分音器简介被动式分频网路(Crossover Network),国内习惯称为“分音器”,其设计受到相当多的变数与考量因素所影响,因而是一项很复杂的工作。(有被动式分音器和主动式电子分音器) 被动式分频 分音器作用被动式分音器“功能、用途”是介于扩大器与喇叭之间,由于单一喇叭无法达到“全频段响应”(全频段即是20HZ-20KHZ,为人耳听觉范围),因而利用喇叭单体尺寸不同的物理频宽响应,来达到要求的“全频段响应”之目的,也因此产生了多种尺寸单体运用在同一声道上的方式。被动式会音器功能就是负责将扩大器全频段输出后,分割成不同频段的声音,分别送到不同尺寸喇叭单体上,表现其应有的特质。由此出现的多音路喇叭组合或称为“分音喇叭”,从一音路汽车喇叭到多音路喇叭均有其用途与多重之选择。组成被动分音器的元件组成:L/C/R,即L电感、C电容、R电阻,依照各元件对频率分割的特性灵活运用在分频网路上。 L电感:其特性是阻挡较高频率,只让较低的频率通过,也就称为“低通滤波器(Low Pass Filter)。通过较低频率的多少是由该“L电感”之电感量来决定,其感抗单位为“μH、mH”代表。电感材质常见有:空心电感、铁淦氧电感、矽钢片电感等。铁淦氧电感、矽钢片电感通常只在需要高电感值而无法由空心电感来获得低直流电阻的场合下才使用,由于铁心电感具有磁饱和而在大电流的场合造成失真的天性,所以铁心电感是一种妥协下的产物。 C电容:其特性与电感刚好相反,也就是阻挡频率通过,让较高的频率通过,称为“高通滤波器(High Pass Filter)。高频率通过多少由C电容的电容量决定。其单位为“μF”。电

分频器的制作

利用一个软件帮你设计一下,高人请指点 ============= 分频器设计============= 您选择的是二阶(-12dB/oct)分频网络 分频点=3500 Hz 低音单元分频点阻抗=8 Ω 高音单元分频点阻抗=8 Ω +────L1──┬──┐ ││+ C1 Bass ││- - ───────┴──┘ + ────C2──┬──┐ ││- L2 High ││+ - ───────┴──┘ L1 = 0.68 mH C1 = 5.29 uF L2 = 0.40 mH C2 = 3.09 uF 理论上是这样了,楼上的没错。看参数5寸单元有90DB的灵敏度有点不可信,我推荐的分频是-12dB在-3DB交叉的,看元件就是C1=C2 L1=L2,记得银笛FQ1就是C1=C2=4.7UF,电路很简单就4个元件,如果喇叭是8欧分频点就是3K。没有别的原因,就是这样的的电路是理论值,也是看得明白的,日后高音要衰减,或者加RC补偿,或者改分频点都很方便。分频器正在找链接,找到了发给你 分频器所使用的电感线圈一般分为空芯线圈和铁芯线圈两大类;而铁芯线圈又分为真铁芯和铁氧体芯两类。 传统的分频器由电容电感以及高音衰减电阻R等元器件组成。如图L1、C1组成低通滤波器作用是只保留音频信号中的低频部分去驱动低音扬声器单元。L2、C2组成高通滤波器作用是只保留音频信号中的高频部分去驱动高音单元。 图例

2计算 公式……L=R/6.28xf,式中R等于分频点上喇叭阻抗值,f等分频频率。假如分频点选3000Hz:实测中低频喇叭阻抗为8Ω L=8Ω/6.28X3000hz=0.43毫亨 电容C=1/6.28×f×R C=1/6.28×3000×8=1/150720=1÷150720=6.6μf

分频扬声器系统分频器电感的精确设计

三分频扬声器系统分频器电感的精确设计 1 引言 扬声器系统的分频器分为前级分频和功率分频2类。前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。 采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。 2 对分频器电路、元件的要求 (1)电路中电感元件直流电阻、电感值误差越小越好。而且为使频响曲线平坦最好使用空心电感。(2)电路中电容元件损耗尽可能小。最好使用音频专用金属化聚丙烯电容。 (3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。 (4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。 (5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。 3 分频电感电容参数值的计算

下面以三分频分频器为例说明其参数的计算,如图3所示。

1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。 为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。并设想高、中、低扬声器阻抗均相同为RL。每倍频程衰减12 dB。 2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值 为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。即通过实验描绘频响曲线,从而得到C1,C2,C3,C4,L1,L2,L3,L4的最佳值。如果没有实验条件,这一步也可不做。求出电容电感的值后就可计算电感值了。 4 最佳结构电感的作用 4.1最佳结构电感的提出 空心分频电感(简称电感)的基本参数是电感量和直流电阻。一般来说,电感量不准会导致分频点偏离设计要求并可能影响扬声器系统的频响,大家都比较重视。然而其直流电阻不宜过大,否则会对音质产生影响。通常人们对此电阻在电路中的影响及其定量要求不甚了解,因此未引起足够重视,对此特作以下简要分析。 以图3的分频网络为例,由于低音单元的分频电感L2与负载R(L低音单元额定阻抗)相串联,因此若L2的阻抗过大,功放输出功率在其上的损耗将增大。同时,功放内阻对低音单元的阻尼作用也将大大减弱。前者影响功放的有效输出功率,后者对音质的影响却无可挽回。由于分频网络中L2的电感量最大,且随分频点的降低而增大,所以L2的直流电阻的影响相当突出。 至于高音单元的分频电感L1,因它未与负载串联,就不存在L2那样的功耗和阻尼问题。但是仍希望其阻抗尽可能小些。因为它与负载并联,起着旁路来自C1的残余低音频成分的作用。若阻值过大,就会影响高音分频网络对低音频的衰减陡度。

相关文档
最新文档