Wi-Fi产品射频电路调试

Wi-Fi产品射频电路调试
Wi-Fi产品射频电路调试

WCDMA主要射頻指標測試經驗總結

本文檔列寫了在使用Agilent 8960進行WCDMA射頻各項測試的簡要測試方法及步驟,注意事項和相關歸納總結,敬請參考。

一、測試前的設置

1.選擇前面板上的“CALL SETUP”

2.按下F1鍵,把Operating Mode選擇成“Cell Off”

NOTE: 若不在CELL OFF狀態下,有些參數無法設置

3.按More鍵,把頁面切換到第二頁,共四頁。“2 of 4”4.按下F2,設置Cell Parameter

--- 設置“BCCH Update Page” 到“Auto”狀態

--- 設置“ATT Flag State” 到“set”狀態

--- 按下F6,關閉當前窗口

5、按下F4設置“Uplink Parameters”

--- 設置“Maximum Uplink Transmit Power Level”到24dBm

--- 按下F6,關閉當前窗口

6、按下前面板左邊的“More”切換頁面到第一頁,“1 of 4”

7、按下F1,設置“Operating Mode”到“Active Cell”

8、按下F7,設置“Cell Power”到-93dBm/3.84MHz

9、手機開機,等待手機registration

注:1、“security settings” 要依據UE的要求,通常情況應設置為“Auth.&Int”

QPST關閉鑒全,若默認已關閉無需操作。

2、假如UE用的是Qualcomm chipset,就必須把“RLC Reestablish”設置成“Off”

二、註冊與Call連接

1、完成上面的“測試前的設置”後,正確連接UE和儀器。

2、手機開機,自動註冊。

-註冊成功後8960會顯示UE的基本資訊“IMSI”和“IMEI”號及“Power class”

3、註冊成功後,按“Originate Call”進行Call連接

-Call連接成功,8960的“Active Call”顯示“Connected”

三、最大輸出功率測試

1、完成“一”和“二”的操作

2、用前面板右側“More”鍵選擇頁面到“3 of 3”

3、按F7,改變“MS Target Power”為21dBm(Power Class4)或24dBm(Power Class3)

或27dBm(Power Class2)

4、按F8,設置UL CL Power Ctrl Parameters

-設置“UL CL Power Ctrl Mode”為“All Up bits”

-設置“UL CL Power Ctrl Algorithm”為“Two”

5、開始測試

-按“Measurement selection”鍵

-選擇“Thermal Power”

Nominal Maximum Output Power

四、頻率容限

1、 完成“一”和“二”的操作

2、 按F7,設置“Cell Power”為 -106.7

3、 按左邊More 鍵,切換頁面到 2 of 4

4、 選擇進入“Generator Info”

5、

按F4,設置“Connected DL Channel Levels”,設置“Cell Connecte d DPCH Level”為 -10.3dB

6、 按右邊的More 鍵,切換頁面到 3 of 3

7、 按F7,改變“MS Target Power”為21dBm(Power Class4)或24dBm(Power Class3)或27dBm(Power Class2)

8、 按F8,設置UL CL Power Ctrl Parameters

- 設置“UL CL Power Ctrl Mode”為“All Up bits” 9、

開始測試

- 按“Measurement selection”鍵 - 選擇“Waveform Quality”

10、頻率誤差應該在 0,1 ppm.以內

五、最小輸出功率

1、完成“一”和“二”操作

2、按“Measurement selection”鍵,選擇“Thermal Power”

NOTE: 根據測量的動態範圍,發射功率測試分為兩種方法:寬頻功率測試(Thermal power)和通道功率測試(Channel power), 寬頻功率測試(Thermal power)針對大信號功率測試用於最大發射功率的測試,對於動態範圍大的測量應使用通道功率測試(Channel power)。

3、按右邊的More鍵,切換頁面到2 of 3

4、按F11,改變“MS Target power”為50dB

設置“UL CL Power Ctrl Mode”為“All Down bits”

6、按“Measurement selection”鍵,選擇“Channel Power”開始測試

7、最小輸出功率應低於-49dBm

六、佔用頻寬

1、完成“一”和“二”的操作

2、按右邊的More 鍵,切換頁面到3 of 3

3、按F7,改變“MS Target Power”為21dBm(Power Class4)或24dBm(Power

Class3)或27dBm(Power Class2)

-設置“UL CL Power Ctrl Mode”為“All Up bits”

5、按“Measurement selection”鍵,選擇“Occupied Bandwidth”開始測試

6、UE佔用頻寬不超過5MHz

七、頻譜發射範本

1、完成“一”和“二”的操作

2、按右邊的More 鍵,切換頁面到3 of 3

3、按F7,改變“MS Target Power”為21dBm(Power Class4)或24dBm(Power

Class3)或27dBm(Power Class2)

4、按F8,設置UL CL Power Ctrl Parameters

-設置“UL CL Power Ctrl Mode”為“All Up bits”

5、按“Measurement selection”鍵,選擇“Spectrum Emission”開始測試

Spectrum Emission Mask Requirement

八、鄰道洩漏抑制比(ACLR)

1、完成“一”和“二”的操作

2、按右邊的More 鍵,切換頁面到3 of 3

3、按F7,改變“MS Target Power”為21dBm(Power Class4)

或24dBm(Power Class3)或27dBm(Power Class2)

4、按F8,設置UL CL Power Ctrl Parameters

-設置“UL CL Power Ctrl Mode”為“All Up bits”

5、按“Measurement selection”鍵,選擇“Adjacent Channel Leakage Ratio”開始測

UE ACLR due to modulation

九、向量幅度誤差(EVM)

1、完成“一”和“二”的操作

2、按右邊的More 鍵,切換頁面到3 of 3

3、按F7,改變“MS Target Power”為21dBm(Power Class4)

或24dBm(Power Class3)或27dBm(Power Class2)

4、按F8,設置UL CL Power Ctrl Parameters

-設置“UL CL Power Ctrl Mode”為“All Up bits”

-按“Measurement selection”鍵,選擇“Waveform Quality”

5、設置“MS Target power”為-20dBm

6、重複第四步

7、UE的EVM不超過17.5%

十、峰值碼域誤差

1、完成“一”和“二”的操作

2、按右邊的More 鍵,切換頁面到3 of 3

3、按F7,改變“MS Target Power”為21dBm(Power Class4)

或24dBm(Power Class3)或27dBm(Power Class2)

4、按F8,設置UL CL Power Ctrl Parameters

-設置“UL CL Power Ctrl Mode”為“All Up bits”

5、按“Measurement selection”鍵,選擇“Waveform Quality”或者“Code Domain”進

行測試

6、UE的峰值碼域誤差不超過-14dB

射频电路调试测试流程

射频电路调试测试流程(准备阶段) 射频电路的调试作为通信整机研发工作中的重要一环,工作量非常大,几乎所有电路都需要调试,为了提高效率,需要对调试环境、调试方法等进行规范。 环境准备如下 1、防静电 佩戴“静电手环”,并良好接地,若着化纤、羊毛、羽绒服装,外层需加穿防静电服,或防辐射服;小功率、低电压、高频率、小封装的器件均ESD敏感,最容易被ESD击穿的射频器件:RF开关,其次是LNA;所有仪器,开机使用前必须将机壳良好接地;2、电源 稳压电源接入负载前,先校准输出电压,电压等于负载的额定电压; 3、仪器保护 为安全起见:只要射频功率大于20dBm,射频信号源(30dBm)、频谱分析仪(27dBm)、信号源分析仪(23dBm)输入端必须级联同轴衰减器,一般情况下,5W 5dB衰减器为常态配置,若测试功放模块需根据实际输出功率大小配置合适的衰减器; 4、仪器设置 射频信号源:Keysight输出功率<13dBm,R&S输出功率<18dBm,若超出,输出功率可能小于显示值,需实测并进行补偿; 频谱分析仪:屏幕显示的有效动态范围,FSV约70dB,FSW约80dB;仪器的线性输入功率<-3dBm,超出会恶化待测IM3(ACLR)、谐波,应选择合适的内部/外部衰减值; 矢量网络分析仪:仪器的IF带宽决定噪声,测无源器件的带外抑制,应适当降低IF带宽;调测任何电路,必须保证输出功率

射频电路调试经验及问题分析

射频电路调试经验及问题分析 1前言 文档总结了我工作一年半以来的一些射频(Radio Frequency)调试(以下称为Debug)经验,记录的是我在实际项目开发中遇到并解决问题的过程。现在我想利用这份文档与大家分享这些经验,如果这份文档能够对大家的工作起到一定的帮助作用,那将是我最大的荣幸。 个人感觉,Debug过程用的都是最简单的基础知识,如果能够对RF的基础知识有极为深刻(注意,是极为深刻)的理解,我相信,所有的Bug解起来都会易如反掌。同样,我的这篇文档也将会以最通俗易懂的语言,讲述最通俗易懂的Debug技巧。 在本文中,我尽量避免写一些空洞的理论知识,但是第二章的内容除外。“微波频率下的无源器件”这部分的内容截取自我尚未完成的“长篇大论”——Wi-Fi产品的一般射频电路设计(第二版)。 我相信这份文档有且不只有一处错误,如果能够被大家发现,希望能够提出,这样我们就能够共同进步。 2微波频率下的无源器件 在这一章中,主要讲解微波频率下的无源器件。一个简单的问题:一个1K的电阻在直流情况下的阻值是1K,在频率为10MHz的回路中可能还是1K,但是在10GHz的情况下呢?它的阻值还会是1K吗?答案是否定的。在微波频率下,我们需要用另外一种眼光来看待无源器件。 2.1.微波频率下的导线 微波频率下的导线可以有很多种存在方式,可以是微带线,可以是带状线,可以是同轴电缆,可以是元件的引脚等等。 2.1.1.趋肤效应 在低频情况下,导线内部的电流是均匀的,但是在微波频率下,导线内部会产生很强的磁场,这种磁场迫使电子向导体的边缘聚集,从而使电流只在导线的表面流动,这种现象就称为趋肤效应。趋肤效应导致导线的电阻增大,结果会怎样?当信号沿导体传输时衰减会很严重。在实际的高频场合,如收音机的感应线圈,为了减少趋肤效应造成的信号衰减,通常会使用多股导线并排绕线,而不会使用单根的导线。我们通常用趋肤深度来描述趋肤效应。趋肤深度是频率与导线本身共同的作用,在这里我们不会作深入的讨论。 2.1.2.直线电感 我们知道,在有电流流过的导线周围会产生磁场,如果导线中的电流是交变电流,那么磁场强度也会随着电流的变化而变化,因此,在导线两端会产生一个阻止电流变化的电压,这种现象称之为自感。也就是说,微波频率下的导线会呈现出电感的特性,这种电感称为直线电感。也许你会直线电感很微小,可以忽略,但是我们将会在后面的内容中看到,随着频率的增高,直线电感就越来越重要。 电感的概念是非常重要的,因为微波频率下,任何导线(或者导体)都会呈现出一定的电感特性,就连电阻,电容的引脚也不例外。 2.2.微波频率下的电阻 从根本上说,电阻是描述某种材料阻碍电流流动的特性,电阻与电流,电压的关系在欧姆定律中已经给出。但是,在微波频率下,我们就不能用欧姆定律去简单描述电阻,这个时候,电阻的特性应经发生了很大的变化。 2.2.1.电阻的等效电路 电阻的等效电路。其中R就是电阻在直流情况下电阻自身的阻值,L是电阻的引脚,C 因电阻结构的不同而不同。我们很容易就可以想到,在不同的频率下,同一个电阻会呈现出不同的阻值。想想平时在我们进行Wi-Fi产品的设计,几乎不用到直插的元件(大容量电解

ADS2009射频电路仿真实验实验报告

低通滤波器的设计与仿真报告 一、实验目的 (1)熟悉ADS2009的使用及操作; (2)运用此软件设计一低通录波器,通过改变C2.L1的值,使低通录波器达到预定的要求(dB值以大于—3.0以上为宜); (3)画出输出仿真曲线并标明截止频率的位置与大小。 二、低通滤波器简介 (1)定义:让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。低通滤波器是容许低于截止频率的信号通过,但高于截止频率的信号不能通过的电子滤波装置。 (2)特点与用途 特点:低损耗高抑制;分割点准确;双铜管保护;频蔽好,防水功能强。 用途:产品用途广泛,使用于很多通讯系统,如 CATV EOC 等系统。并能有效的除掉通频带以外的信号和多余的频段、频率的干扰。 低通滤波器在信号处理中的作用等同于其它领域如金融领域中移动平均数所起的作用;低通滤波器有很多种,其中,最通用的就是巴特沃斯滤波器和切比雪夫滤波器。 三、设计步骤 1,建立新项目 (1)在界面主窗口执行菜单命令【File】/【New Project...】,创建

新项目。在选择保存路径时,在“Name”栏中输入项目的名称“lab1”; (2)单击按钮“确认”,出现电路原理图设计及仿真向导对话框,按照要求进行选择选项。 2,建立一个低通录波器设计 (1)在主界面窗口,单击“New Schematic Window”图标,弹出原理图设计窗口; (2)单击“保存”图标,保存原理图,命名为“lpf1”; (3)在元件模型列表窗口中选择“Lumped-Components”集总参数元件类; (4)在左侧面板中选择电容图标,将其放置到电路图设计窗口中,并进行旋转; (5)用类似的方法将电感放置到电路图设计窗口中,并利用接地图标,把电容器的一端接地,将各个器件连接起来; (6)在元件库列表窗口选择“Simulation-S-Param”项,在该面板中选择S-parameter模拟控制器和端口Term,将其放到原理图中。双击电容“C2”并修改其参数。 低通滤波器原理图如下图1所示: 3,电路仿真 1)设置S参数控件参数 (1)双击S参数控件,打开参数设置窗口,将“Step-size”设置为0.5GHz; (2)选中【Display】选项卡,在此列出了所有可以显示在原理

WiFi产品射频电路调试经验

Wi-Fi产品射频电路调试经验 https://www.360docs.net/doc/da14356754.html,/article/11-04/422921302067041.html?sort=1111_1119_1438_0 2011-04-06 13:17:21 来源:电子发烧友 关键字:Wi-Fi 射频电路调试经验 这份文档是生花通信的一线射频工程师总结了的Wi-Fi产品开发过程中的一些射频调试经验,记录并描述在实际项目开发中遇到并解决问题的过程。 1 前言 这份文档总结了我工作一年半以来的一些射频(Radio Frequency)调试(以下称为Debug)经验,记录的是我在实际项目开发中遇到并解决问题的过程。现在我想利用这份文档与大家分享这些经验,如果这份文档能够对大家的工作起到一定的帮助作用,那将是我最大的荣幸。 个人感觉,Debug过程用的都是最简单的基础知识,如果能够对RF的基础知识有极为深刻(注意,是极为深刻)的理解,我相信,所有的Bug解起来都会易如反掌。同样,我的这篇文档也将会以最通俗易懂的语言,讲述最通俗易懂的Debug技巧。 在本文中,我尽量避免写一些空洞的理论知识,但是第二章的内容除外。“微波频率下的无源器件”这部分的内容截取自我尚未完成的“长篇大论”——Wi-Fi产品的一般射频电路设计(第二版)。 我相信这份文档有且不只有一处错误,如果能够被大家发现,希望能够提出,这样我们就能够共同进步。 2 微波频率下的无源器件 在这一章中,主要讲解微波频率下的无源器件。一个简单的问题:一个1K的电阻在直流情况下的阻值是1K,在频率为10MHz的回路中可能还是1K,但是在10GHz的情况下呢?它的阻值还会是1K吗?答案是否定的。在微波频率下,我们需要用另外一种眼光来看待无源器件。 2.1. 微波频率下的导线 微波频率下的导线可以有很多种存在方式,可以是微带线,可以是带状线,可以是同轴电缆,可以是元件的引脚等等。 2.1.1. 趋肤效应 在低频情况下,导线内部的电流是均匀的,但是在微波频率下,导线内部会产生很强的磁场,这种磁场迫使电子向导体的边缘聚集,从而使电流只在导线的表面流动,这种现象就称为趋肤效应。趋肤效应导致导线的电阻增大,结果会怎样?当信号沿导体传输时衰减会很严重。 在实际的高频场合,如收音机的感应线圈,为了减少趋肤效应造成的信号衰减,通常会使用多股导线并排绕线,而不会使用单根的导线。

射频电路PCB的设计技巧

射频电路PCB的设计技巧 摘要:针对多层线路板中射频电路板的布局和布线,根据本人在射频电路PCB设计中的经验积累,总结了一些布局布线的设计技巧。并就这些技巧向行业里的同行和前辈咨询,同时查阅相关资料,得到认可,是该行业里的普遍做法。多次在射频电路的PCB设计中采用这些技巧,在后期PCB的硬件调试中得到证实,对减少射频电路中的干扰有很不错的效果,是较优的方案。 关键词:射频电路;PCB;布局;布线 由于射频(RF)电路为分布参数电路,在电路的实际工作中容易产生趋肤效应和耦合效应,所以在实际的PCB设计中,会发现电路中的干扰辐射难以控制,如:数字电路和模拟电路之间相互干扰、供电电源的噪声干扰、地线不合理带来的干扰等问题。正因为如此,如何在PCB的设计过程中,权衡利弊寻求一个合适的折中点,尽可能地减少这些干扰,甚至能够避免部分电路的干涉,是射频电路PCB设计成败的关键。文中从PCB的LAYOUT角度,提供了一些处理的技巧,对提高射频电路的抗干扰能力有较大的用处。 1 RF布局 这里讨论的主要是多层板的元器件位置布局。元器件位置布局的关键是固定位于RF路径上的元器件,通过调整其方向,使RF路径的长度最小,并使输入远离输出,尽可能远地分离高功率电路和低功率电路,敏感的模拟信号远离高速数字信号和RF信号。 在布局中常采用以下一些技巧。 1.1 一字形布局 RF主信号的元器件尽可能采用一字形布局,如图1所示。但是由于PCB板和腔体空间的限制,很多时候不能布成一字形,这时候可采用L形,最好不要采用U字形布局(如图2所示),有时候实在避免不了的情况下,尽可能拉大输入和输出之间的距离,至少1.5 cm 以上。

射频导纳物位开关原理

射频导纳物位计原理 FB8051系列为通用型连续物位仪表,适用于大多数场合。仪表由一电路单元和杆式或缆式传感元件组成,传感器可选多种材质,可整体或分体式安装。用于连续测量。 ★工作原理 射频导纳物位控制技术是一种从电容式物位控制技术发展起来的,防挂料、更可靠、更准确、适用性更广的物位控制技术,“射频导纳”中“导纳”的含义为电学中阻抗的倒数,它由阻性成分、容性成分、感性成分综合而成,而“射频”即高频,所以射频导纳技术可以理解为用高频测量导纳。高频正弦振荡器输出一个稳定的测量信号源,利用电桥原理,以精确测量安装在待测容器中的传感器上的导纳,在直接作用模式下,仪表的输出随物位的升高而增加。射频导纳技术与传统电容技术的区别在于测量参量的多样性、驱动三端屏蔽技术和增加的两个重要的电路,这些是根据在实践中的宝贵经验改进而成的。上述技术不但解决了连接电缆屏蔽和温漂问题,也解决了垂直安装的传感器根部挂料问题。所增加的两个电路是高精度振荡器驱动器和交流鉴相采样器。对一个强导电性物料的容器,由于物料是导电的,接地点可以被认为在探头绝缘层的表面,对变送器探头来说仅表现为一个纯电容,随着容器排料,探杆上产生挂料,而挂料是具有阻抗的。这样以前的纯电容现在变成了由电容和电阻组成的复阻抗,从而引起两个问题。射频导纳技术由于引入了除电容以外的测量参量,尤其是电阻参量,使得仪表测量信号信噪比上升,大幅度地提高了仪表的分辨力、准确性和可靠性;测量参量的多样性也有力地拓展了仪表的可靠应用领域。 第一个问题是物料本身对探头相当于一个电容,它不消耗变送器的能量,(纯电容不耗能),但挂料对探头等效电路中含有电阻,则挂料的阻抗会消耗能量,从而将振荡器电压拉下来,导致桥路输出改变,产生测量误差。我们在振荡器与电桥之间增加了一个驱动器,使消耗的能量得到补充,因而会稳定加在探头的振荡电压。 第二个问题是对于导电物料,探头绝缘层表面的接地点覆盖了整个物料及挂料区,使有效测量电容扩展到挂料的顶端,这样便产生挂料误差,且导电性越强误差越大。但任何物料都不完全导电的。从电学角度来看,挂料层相当于一个电阻,传感元件被挂料覆盖的部分相当于一条由无数个无穷小的电容和电阻元件组成的传输线。根据数学理论,如果挂料足够长,则挂料的电容和电阻部分的阻抗和容抗数值相等,因此用交流鉴相采样器可以分别测量电容和电阻。测得的总电容相当于C物位+C挂料,再减去与C挂料相等的电阻R,就可以获得物位真实值,从而排除挂料的影响。 即C测量=C物位+C挂料 C物位=C测量-C挂料=C测量-R 这些多参量的测量,是测量的基础,交流鉴相采样器是实现的手段。 由于使用了上述三项技术,使得射频导纳技术在现场应用中展现出非凡的生命力。FB8010系列为通用型点位控制仪表,适用于大多数场合。仪表由一电路单元和杆式或缆式传感元件组成,传感器可选多种材质,可整体或分体式安装。用于限位控制和报警。 概述 1.1仪表简介 TV502系列射频导纳物位开关由传感探杆、电子测控单元和防护外壳组成,是根据射频导纳测量原理制造的点位式物位开关。当物位达到预先设置的位置时,传感探杆产生信号,经电子测控单元处理后的输出信号可提供继电器输出,其标准的双刀双掷继电器接点可控制警铃、电磁阀或其它低功率设备动作,实现对液体、固体物位的报警和控制。 该产品为机电一体化产品,用于存放液体或固体颗粒的罐、槽、筒仓或料斗的料位控制及报警。即使在极端恶劣的现场条件下,也能可靠工作,而不受挂料、压力、材料密度、湿度甚至物料化学特性变化的影响。本产品以其耐恶劣使用环境及高可靠等特点被成功应用

射频电路基础期末试题

西安电子科技大学 教师教学工作一览 年下学期 课程名称: 课程性质(必、限、任): 课程学时数: 主讲教师姓名: 填表时间:

教学任务书 老师: 根据学年学期教学计划的安排,经研究,决定请您担任教学班课程的主讲,该课程学时为学时,请做好教学实施计划安排和备课等环节的工作。 西安电子科技大学 (教学单位盖章) 年月日

课程内容实施进度 注:1课次为2学时课次内容 1 第一章绪论§1.1非线性电子线路§1.2非线性电子线路的应用 2 第二章谐振功率放大器§2.1谐振功放的工作原理和能量关系 3 §2.2谐振功放的动特性曲线和工作状态§2.3谐振功放的工作特性 4 §2.4谐振功放的电路设计和输出匹配网络第二章习题课 5 第三章正弦波振荡器§3.1反馈式振荡器的工作原理(一) 6 §3.1反馈式振荡器的工作原理(二) 7 §3.2 LC正弦波振荡器—变压器耦合式振荡器、三端式振荡器(一) 8 §3.2 LC正弦波振荡器—三端式振荡器(二)、差分对振荡器 9 §3.2 LC正弦波振荡器—频率稳定度分析和改进措施 10 §3.3并联型石英晶体振荡器和串联型石英晶体振荡器 11 §3.4 RC正弦波振荡器第三章习题课 12 第五章振幅调制与解调§5.1 调幅信号分析(一) 13 §5.1调幅信号分析(二) 14 §5.2非线性器件调幅原理、失真和平衡对消技术 15 §5.3线性时变电路调幅原理和电路分析(一) 16 §5.3线性时变电路调幅原理和电路分析(二) 17 §5.4包络检波和同步检波原理和电路分析(一) 18 §5.4包络检波和同步检波原理和电路分析(二)第五章习题课 19 第六章混频§6.1晶体管混频器原理

2016年《射频电路设计》实验

实验三RFID标签的设计、制作及测试一、【实验目的】 在实际的生产过程中,RFID电子标签在设计并测试完成后,都是在流水线上批量制造生产的。为了让学生体会RFID标签天线设计的理念和工艺,本实验为学生提供了一个手工蚀刻制作RFID电子标签的平台,再配合微调及测试,让学生在亲自动手的过程中,不断地尝试、提炼总结,从而使学生对RFID标签天线的设计及生产工艺,有进一步深刻的理解。 二、【实验仪器及材料】 计算机一台、HFSS软件、覆铜板、Alien Higgs芯片、热转印工具、电烙铁、标签天线实物,UHF测试系统,皮尺 三、【实验内容】 第一步(设计):从UHF标签天线产品清单中,挑选出一款天线结构,或者自己设计一款标签天线结构,进行HFSS建模画图 第二步(制作):将第一步中设计好的标签模型用腐蚀法进行实物制作 第三步(测试):利用UHF读写器测试第二步中制作的标签实物性能 四、【实验要求的知识】 下图是Alien(意联)公司的两款标签天线,型号分别为ALN-9662和ALN-9640。这两款天线均采用弯折偶极子结构。弯折偶极子是从经典的半波偶极子结构发展而来,半波偶极子的总长度为波长的一半,对于工作在UHF频段的半波偶极子,其长度为160mm,为了使天线小型化,采用弯折结构将天线尺寸缩小,可以适用于更多的场合。ALN-9662的尺寸为70mm x 17mm,ALN-9640的尺寸为94.8mm x 8.1mm,之所以有不同的尺寸是考虑到标签的使用情况和应用环境,因为天线的形状和大小必须能够满足标签顺利嵌入或贴在所指定的目标上,也需要适合印制标签的使用。例如,硬纸板盒或纸板箱、航空公司行李条、身份识别卡、图书等。 ALN-9662天线版图 ALN-9640天线版图

射频电路设计困境及对策

射频电路设计地困境及对策 hc360慧聪网通信行业频道 2004-04-16 11:23:41 射频电路地设计技术一度专属于少数专家掌握并拥有其自己地专用芯片组,如今已能和数字电路模块及模拟电路模块集成在同一块 IC 里了.再则,射频电路设计中固有地临界尺寸要求,更增加了工程压力. 要点●射频电路设计师必须经常采用间接测量电路性能地方式,来推断电路故障地原因. ●射频电路设计问题正在影响数字电路设计和模拟电路设计. ●将射频电路集成在同一块印制电路板或 IC 上,这会促使人们使用一种新地设计方法. ● EDA 厂商正在开始提供集成时域仿真和频域仿真地分析工具. 射频电路设计就是对发射电磁信号地电路进行设计.射频意为无线电频率,因为射频电路在其初期,只能发射调幅和调频两个波段地无线电信号.今天,把高频电路设计称为“射频电路设计”,只是沿用了历史名称.图1表明,自从 20 世纪 60 年代使用 UHF 电视技术以来,广播设备使用高于 300000 MHz地频率.从那时以来,通信设备地内容、频率和带宽都增加了.安捷伦科技平台地经理Joe Civello说,对模拟/混合信号 IC 设计师地挑战正以前所未有地速度在加剧.在加大带宽和提高最终产品功能地市场需求推动下,设计正在进入更高地频率范围,并不断提高复杂性.工程师们正在把射频电路与模拟及数字纳M电路集成在一起.吉比级数据速率正在使数字电路像微波电路那样工作.不断扩充而更复杂地无线通信标准,如 WiFi<无线相容性认证)802.11a/b/g、超宽带和蓝牙标准,都要求设计师去评估其设计对系统整体性能地影响. 形状因子、功耗和成本推动着模拟电路设计、射频电路设计和数字电路设计地日益集成化.便携式设备小巧轻便,功耗和成本尽可能低.集成度直接影响着最终电子产品地制造成本、尺寸和重量,通常也决定所需功率地大小.设计师从材料清单中每去掉一个元件,维持该元件地供应链所需日常开支就会随之减少,最终产品地制造成本就会下降,产品尺寸也会缩小. 德州仪器公司(TI>负责无线应用地研究经理Bill Krenik说,射频电路地设计一向是很困难地,因为缺乏恰当地检测仪器,使高频信号地分析复杂化了.工程师们不得不采取间接地测量方法,并根据他们能够观察到地电路行为状态来推断电路特性.随着工程师们在同一块芯片上实现数字电路、模拟电路和射频电路,种种集成问题就使这一问题进一步复杂化.通过衬底传输或通过 IC 表面辐射地数字信号会影响射频或模拟部分地噪声敏感度.这些潜在地影响大多会结合在一起,从而使最初地硅片存在各种问题.传统地调试方法也许不再适用,这意味着你必须正确地进行设计,并在设计投片之前就要准确无误地对尽可能多地物理效应建立模型.当设计方法不能准确地建立硅片地模型时,设计小组通常别无选择,只能把器件制造出来,再去观察其工作状态.走这条途径就像一场赌注很高地赌博,多数公司只是把它作为最后地一招. 模拟电路和射频电路历来都制作在各自地芯片上,这样可以更方便地在系统中隔离噪声,防止耦合到电路地敏感节点中.工程师们把这几类设计元件都集成在同一块芯片上时,就不能忽视噪声问题.假如没有某种形式地精确硅衬底模型,工程师们也许要到硅片从工厂退回后才会知道问题地存在.这类产品地开发几乎总是需要一个由各个工程领域地专家组成地小组.很少有哪个设计师既有射频专业知识,又有模拟电路专业知识;再则,射频电路专家和模

HY016射频设计6_射频匹配电路调试

HY016射频设计6_射频匹配电路调试 全部频段在QSPR中校准通过后,便可以进行电路优化了,也就是我们通常说的调匹配。 我们实验室采用的是盲调,即以最终实测性能的好坏来决定最终的匹配电路;与之对应的另一种方法是根据器件规格书,用网络分析仪逐个端口调试,使其和规格书要求相对应。对于RDA PhaseII方案,盲调性能挺好。 对于频分电路(FDD LTE/WCDMA/CDMA),重点是调双工器的输入输出端匹配;对于时分电路(TDD-LTE/TDSCDMA),重点是调滤波器的输入输出匹配。双工的调试相对复杂,本文会以HY016欧洲版中B20双工为例进行说明。 射频电路调试的最终原则包括: 1,发射端兼顾电流和线性度,也就是在ACLR余量足够的情况下尽可能的降低最大发射功率的电流,同时兼顾整个频段中高中低信道的平坦度。 2,接收端以提高接收灵敏度为最终原则 3,不是把某块板子的性能调到最佳为准;而是要留够余量,保证量产大批量板子的性能都能达到良好为准 双工器电路我通常的调试步骤: 1,初始bom采用datasheet的参考匹配 2,调节公共端的到地电感,让低、中、高信道特性一致,包括电流和ACLR 3,调节公共端的串联电感/电容,找出ACLR和电流的最佳权衡 4,调节发射端输入匹配,找出ACLR和电流的最佳权衡,最终确认发射端匹配 5,在QSPR下直接校准接收进行接收调试:若信道间差距过大就优先到地电感;若信道间差距不大则优化串联电感/电容;调试完成后实测灵敏度最终确认接收匹配 调试发射电路时,需要和仪表相连。通常在用QSPR完成校准后,再在QPST->PDC中导入并激活ROW_Gen_Commercial.MBN便可以和仪表通信了。关于MBN激活这部分,会在后续工厂文件部分详细说明,这里不再展开。

射频电路-实验指导书

实验一:滤波器(Filter ) 一、实验目的: 1.了解基本[低通]及[带通]滤波器之设计方法。 2.利用实验模组实际测量以了解[滤波器]的特性。 二、实验设备: 三、实验理论分析: (一)滤波器的种类 以信号被滤掉的频率范围来区分,可分为[低通](Lowpass )、[高通](Highpass )、[带通](Bandpass )及[带阻](Bandstop )四种。若以滤波器的频率响应来分,则常见的有[巴特渥兹型](Butter-worth)、[切比雪夫I 型](Tchebeshev Type-I)、[切比雪夫Ⅱ型](T chebeshev Type-Ⅱ)及[椭圆型](Elliptic)等,若按使用元件来分,则可分为[有源型]及[无源型]两类。其中[无源型]又可分为[L-C 型](L-C Lumped)及[传输线型](Transmission line)。而[传输线型]以其结构不同又可分为[平行耦合型](Parallel Coupled)、[交叉指型](Interdigital)、[梳型](Comb-line)及[发针型](Hairpin-line)等等不同结构。 本实验以较常用的[巴特渥兹型](Butter-worth)、[切比雪夫I 型] (Tchebeshev Type-I)为例,说明其设计方法。 首先了解[Butter-worth]及[Tchebeshev Type-I]低通滤波器的响应图。 (a) [Butterowrth] []|),(|log 10),(, 011),(2ωωωω ωN B N B if N B LP N LP ?=≥+=

(b) [Tchebyshev Type] []|),,(|l o g 10),,(, ) (11),,(22 ωωωεωN rp T N rp T T N rp T LP n LP ?=+= 其中 rp(dB)是[通带纹波](passband ripple), 110 10 /2-=rp ε N 为元件级数数(order of element for lowpass prototype ) ω为截通比(stopband-to-passband ratio ), ω= fc / fx (for lowpass) = B Wp / BWx (for bandpass) 其中 fc 是-3 dB 截止频率(3 dB cutoff frequency ) fx 是截止频率(stopband frequency ) BWp 是通带频宽(passband bandwidth ) BWx 是截止频宽(stopband bandwidth ) T n (ω)为[柴比雪夫]多项式(Tchebyshey polynom als) [] [] ? ??>???≤?≤??=--1)(cosh cosh 1 0)(cos cos )(1 1ωαωαωαωαωif N if N T n 其中 ?? ? ?????? ???=-ε α1cosh 1 cosh 1 N ,110 10 /2 -=rp ε 图6-1(a)(b)即是[三级巴特渥兹型]B (3,ω)与三种不同纹波和级数的[切比雪夫型]的截通比响应的比较图。理论上,在通带内[巴特渥兹型]是无衰减的(Maximun flat ),而[切比雪夫型]较同级数的[巴特渥兹型]有较大的衰减量。实际应用上,除非在通带内要求必须是平坦响应(flat response )外,大多允许通带少量的衰减而采用[切比雪夫型]以获得较大的截通效应或减少元件级数。

射频发射机电路设计

射频发射机电路设计 文献综述 前言 超外差接收是一种巧妙的接收方法,利用它,能使因无线电信号直接接收和放大而引起的一系列困难得到解决。在费森登思想的基础上,1912年,阿姆斯特朗在接收机中设置了本机振荡(简称“本振”)电路,通过双联可变电容器进行同步调谐,保证本振频率始终跟踪外来信号频率的变化,而且始终比外来信号高一个固定的中频。这样,不管所接收的各个电台的载波频率差别多大,与本振频率混频后,产生的都是统一的中频信号。再对这个统一的中频信号进行放大、检波,就可得到所需要的音频信号。利用超外差原理设计的电路,能使接收机电路大大简化,接收机的性能与灵敏度也得到提高。当时阿姆斯特朗还成功地组装出一台超外差接收机。同年,阿姆斯特朗与德·福雷斯特及兰茂尔各自独立发明了再生电路。 超外差接收原理不仅适用于收音机电路,还具有广泛的应用价值,它适用于电视广播、微波通信、雷达等无线电技术的各个领域。超外差原理已成为现代无线电接收理论的基础,凡是涉及无线电信号接收的电子设备,都离不开超外差接收电路。阿姆斯特朗的这项重要发明,不仅推动了无线电技术早期发展的进程,而且在无线电事业的征途上至今还闪现着它的技术光芒。 超外差原理的典型应用是超外差接收机。从天线接收的信号经高频放大器(见调谐放大器)放大,与本地振荡器产生的信号一起加入混频器变频,得到中频信号,再经中频放大、检波和低频放大,然后送给用户。接收机的工作频率范围往往很宽,在接收不同频率的输入信号时,可以用改变本地振荡频率f1的方法使混频后的中频fi保持为固定的数值。 概述 超外差接收机是超外差电路的典型应用,是全面学习模拟电路基础知识最好的切入点之一。通过简单分析超外差式接收机中输入电路、变频电

射频电路(系统)的线性指标及测量方法

射频电路(系统)的线性指标及测量方法 蒋治明 1、线性指标 1.1 1dB压缩点(P1dB——1dB compression point ) 射频电路(系统)有一个线性动态范围,在这个范围内,射频电路(系统)的输出功率随输入功率线性增加。这种射频电路(系统)称之为线性射频电路(系统),这两个功率之比就是功率增益G。 随着输入功率的继续增大,射频电路(系统)进入非线性区,其输出功率不再随输入功率的增加而线性增加,也就是说,其输出功率低于小信号增益所预计的值。 通常把增益下降到比线性增益低1dB时的输出功率值定义为输出功率的1dB压缩点,用P1dB表示(见图1)。 典型情况下,当功率超过P1dB时,增益将迅速下降并达到一个最大的或完全饱和的输出功率,其值比P1dB大3dB~4dB。 1db压缩点愈大,说明射频电路(系统)线性动态范围愈大。 图1 输出功率随输入功率的变化曲线 1.2 三阶交调截取点(IP3——3rd –order Intercept Poind) 当两个正弦信号经过射频电路(系统)时,此时由于射频电路(系统)的非线性作用,会输出包括多种频率的分量,其中以三阶交调分量的功率电平最大,它是非线性中的三次项产生的。假设两基频信号的频率分别是F1和F2,那么,三阶交调分量的频率为2F1-F2和2F2-F1。图2是输入信号和输出信号的频谱图。

图3反映了基频(一阶交调)与三阶交调增益曲线,当输入功率逐渐增加到IIP3时,基频与三阶交调增益曲线相交,对应的输出功率为OIP3。IIP3与OIP3分别被定义为输入三阶交调载取点(Input Third-order Intercept Point)和输出三阶交调载取点(Output Third-order Intercept Point)。 三阶交调截取点(IP3)是表示线性度或失真性能的重要参数。IP3越高表示线性度越好和更少的失真。 图3中A 线是基频(有用的)信号输出功率随输入功率变化的曲线,B 线是三阶失真输出功率随输入功率变化的曲线。B 线的斜率是A 线的斜率的3倍(以dB 为单位),理论上会与A 相交,这个交点就是三阶截取点。 1.3 三阶互调(IM3——3rd –order inter-modulation) 三阶互调是指当两个基频信号在一个线性系统中,由于非线性因素存在使一个基频信号的二次谐波与另一个基频信号产生差拍(混频)后所产生的寄生信号。比如F1的二次谐波是2F1,他与F2产生了寄生信号2F1-F2。由于一个信号是二次谐波(二阶信号),另一个信号是基频信号(一阶信号),他们俩的 图3 增益曲线 图2 输入、输出频谱图 PO=-10dBm △IM=60dB c -70dBm

射频通信电路课程设计报告

射频通信电路课程设计报告 引言 混频器在通信工程和无线电技术中,应用非常广泛,在调制系统中,输入的基带信号都要经过频率的转换变成高频已调信号。在解调过程中,接收的已调高频信号也要经过频率的转换,变成对应的中频信号。特别是在超外差式接收机中,混频器应用较为广泛,如AM 广播接收机将已调幅信号535KHZ-一1605KHZ要变成为465KHZ中频信号,电视接收机将已调48.5M一870M 的图象信号要变成38MHZ的中频图象信号。 常用的振幅检波电路有包络检波和同步检波两类。输出电压直接反映调幅包络变化规律的检波电路,称为包络检波电路,它适用于普通调幅波的检波。通常根据信号大小的不同,将检波器分为小信号平方律检波和大信号峰值包络检波两信号检波。 目前, 在应用较广泛的电路仿真软件中, Pspice是应用较多的一种。Psp ice 能够把仿真与电路原理图的设计紧密得结合在一起。广泛应用于各种电路分析,可以满足电路动态仿真的要求。其元件模型的特性与实际元件的特性十分相似,因而它的仿真波形与实验电路的测试结果相近,对电路设计有重要的指导意义。 由此可见,混频电路是应用电子技术和无线电专业必须掌握的关键电路。 [3]

目录 引言 (2) 一.概述 (3) 二. 方案分析 (4) 三.单元电路的工作原理 (6) 1.LC正弦波振荡器 (6) 2.模拟乘法器电路 (8) 3.谐振电路 (9) 4.包络检波 (12) 四.电路性能指标的测试 (16) 五.课程设计体会..................................................................................................... 错误!未定义书签。参考文献..................................................................................................................... 错误!未定义书签。

射频实验报告

射频电路实验报告12/13 学年第1学期 学院:信息与通信工程学院 专业:电子信息科学与技术 学生姓名:学号: 指导教师:李永红 日期: 2012 年10月28日

实验一滤波器设计 一、实验目的 (1) 掌握基本的低通和带通滤波器的设计方法。 (2) 学会使用微波软件对低通和高通滤波器进行设计和仿真,并分析结果。 二、预习内容 (1) 滤波器的相关原理。 (2) 滤波器的设计方法。 三、实验设备 Microwave Office软件 四、理论分析 滤波器的种类: (1) 按通带特性分为低通、高通、带通及带阻四种。 (2) 按频率响应分为巴特沃斯、切比雪夫及椭圆函数等。 (3) 按使用原件又可分为L-C性和传输线型。 五、软件仿真 设计一个衰减为3dB,截止频率为75MHz的[切比雪夫型1dB 纹波LC 低通滤波器(Zo=50ohm),并且要求该滤波器在100MHz至少有20dB 的衰减。

图1-1切比雪夫型1dB 纹波LC低通滤波器电路图 图1-2 模拟仿真结果 六、结果分析 经过仿真,得到了两种滤波器的频率特性的到了结果。红色的曲线为低通滤波器,蓝色的为带通滤波器,两种滤波器的特性可以鲜明地在图上看出差别。低通滤波器在低频区域,是通带,通带非常的平缓,纹波较低,但是截至段不是很陡。带通滤波器具有较好的陡峭特性,但是相对而言,通带比较窄而且纹波较大。

实验二放大器设计 一、实验目的 (1) 掌握射频放大器的基本原理与设计方法。 (2) 学会使用微波软件对射频放大器进行设计和仿真,并分析结果。 二、预习内容 (1) 放大器的基本原理。 (2) 放大器的设计方法。 三、实验设备 Microwave Office软件 四、理论分析 射频晶体管放大器常用器件为BJT、FET、MMIC。 放大器电路的设计主要是输入/输出匹配网络。输入匹配网络可按低噪声或高增益设计,输出匹配网络要考虑尽可能高的增益。

射频介绍

《射频集成电路设计基础》讲义 课程概述 关于射频(RF) 关于射频集成电路 无线通信与射频集成电路设计 课程相关信息 RFIC相关IEEE/IEE期刊和会议

关于射频 ? 射频= Radio Frequency (RF) → Wireless! ? Why Wireless? – 可移动(Mobile) – 个人化(Personalized) – 方便灵活(Self-configuring) – 低成本(在某些情况下) – and more ... ? Why Wired? <<>><>?

<<>><>? ? 多高的频率才是射频? ? 为什么使用高频频率? 30-300kHz LF 中波广播530-1700 kHz 300kHz-3MHz MF 短波广播 5.9-26.1 MHz 3-30MHz HF RFID 13 MHz 30-300MHz VHF 调频广播88-108 MHz 我们关心的频段 300-1000MHz UHF (无线)电视54-88, 174-220 MHz 1-2 GHz L-Band 遥控模型72 MHz 2-4 GHz S-Band 个人移动通信900MHz, 1.8, 1.9, 2 GHz 4-8 GHz C-Band WLAN, Bluetooth (ISM Band) 2.4-2.5GHz, 5-6GHz 注1:本表主要参考国外标准 注2:ISM =Industrial, Scientific and Medical

关于射频集成电路 ? 是什么推动了RFIC的发展? – Why IC? – 体积更小,功耗更低,更便宜→移动性、个人化、低成本 – 功能更强,适合于复杂的现代通信网络 – 更广泛的应用领域如生物芯片、RFID等 ? Quiz: why not fully integrated? ? 射频集成电路设计最具挑战性之处在于,设计者向上必须 懂得无线系统的知识,向下必须具备集成电路物理和工艺 基础,既要掌握模拟电路的设计和分析技巧,又要熟悉射频 和微波的理论与技术。(当然,高技术应该带来高收益:) <<>><>?

RF电路及设计的基础知识

微波电路及设计的基础知识 1. 微波电路的基本常识 2. 微波网络及网络参数 3. Smith圆图 4. 简单的匹配电路设计 5. 微波电路的计算机辅助设计技术及常用的CAD软件 6. 常用的微波部件及其主要技术指标 7. 微波信道分系统的设计、计算和指标分配 8. 测试及测试仪器

微波电路及其设计 1.概述 所谓微波电路,通常是指工作频段的波长在10m~1cm(即30MHz~30GHz)之间的电路。此外,还有毫米波(30~300GHz)及亚毫米波(150GHz~3000GHz)等。 实际上,对于工作频率较高的电路,人们也经常称为“高频电路”或“射频(RF)电路”等等。 由于微波电路的工作频率较高,因此在材料、结构、电路的形式、元器件以及设计方法等方面,与一般的低频电路和数字电路相比,有很多不同之处和许多独特的地方。 作为一个独立的专业领域,微波电路技术无论是在理论上,还是在材料、工艺、元器件、以及设计技术等方面,都已经发展得非常成熟,并且应用领域越来越广泛。 另外,随着大规模集成电路技术的飞速发展,目前芯片的工作速度已经超过了1GHz。在这些高速电路的芯片、封装以及应用电路的设计中,一些微波电路的设计技术也已得到了充分的应用。以往传统的低频电路和数字电路,与微波电路之间的界限将越来越模糊,相互间的借鉴和综合的技术应用也会越来越多。 2.微波电路的基本常识 2.1 电路分类 2.1.1 按照传输线分类 微波电路可以按照传输线的性质分类,如:

图1 微带线 图2 带状线 图3 同轴线

图4 波导 图5 共面波导 2.1.2 按照工艺分类 微波混合集成电路:采用分离元件及分布参数电路混合集成。 微波集成电路(MIC):采用管芯及陶瓷基片。 微波单片集成电路(MMIC):采用半导体工艺的微波集成电路。 图6微波混合集成电路示例

ADS射频电路设计基础与典型应用解析

实验报告 课程名称: ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日 预习报告

一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用来设置直流仿真的外部环境和计算方式,例如,环境温度、设备温度、仿真的收敛性、仿真的状态提示和输出文件的特性等相关内容。

RF 基础知识

1. 什么是RF? 答:RF 即Radio frequency 射频,主要包括无线收发信机。 2. 当今世界的手机频率各是多少(CDMA,GSM、市话通、小灵通、模拟手机等)?答:EGSM RX: 925-960MHz, TX:880-915MHz; CDMA cellular(IS-95)RX: 869-894MHz, TX:824-849MHz。 3. 从事手机Rf工作没多久的新手,应怎样提高? 答:首先应该对RF系统(如功能性)有个系统的认识,然后可以选择一些芯片组,研究一个它们之间的连通性(connectivities among them)。 4. RF仿真软件在手机设计调试中的作用是什么? 答:其目的是在实施设计之前,让设计者对将要设计的产品有一些认识。 5. 在设计手机的PCB时的基本原则是什么? 答:基本原则是使EMC最小化。 6. 手机的硬件构成有RF/ABB/DBB/MCU/PMU,这里的ABB、DBB和PMU等各代表何意?答:ABB是Analog BaseBand, DBB是Ditital Baseband,MCU往往包括在DBB芯片中。 PMU是Power Management Unit,现在有的手机PMU和ABB在一个芯片上面。将来这些芯片(RF,ABB,DBB,MCU,PMU)都会集成到一个芯片上以节省成本和体积。

7. DSP和MCU各自主要完成什么样的功能?二者有何区别? 答:其实MCU和DSP都是处理器,理论上没有太大的不同。但是在实际系统中,基于效率的考虑,一般是DSP处理各种算法,如信道编解码,加密等,而MCU处理信令和与大部分硬件外设(如LCD等)通信。 8. 刚开始从事RF前段设计的新手要注意些什么? 答:首先,可以选择一个RF专题,比如PLL,并学习一些基本理论,然后开始设计一些简单电路,只有在调试中才能获得一些经验,有助加深理解。 9. 推荐RF仿真软件及其特点? 答:Agilent ADS仿真软件作RF仿真。这种软件支持分立RF设计和完整系统设计。详情可查看Agilent网站。 10. 哪里可以下载关于手机设计方案的相应知识,包括几大模快、各个模块的功能以及由此对硬件的性能要求等内容? 答:可以看看https://www.360docs.net/doc/da14356754.html,和https://www.360docs.net/doc/da14356754.html,,或许有所帮助。关于TI的wireless solution,可以看看https://www.360docs.net/doc/da14356754.html,中的wireless communications. 11. 为什么GSM使用GMSK调制,而W-CDMA采用HPSK调制? 答:主要是由于GSM和WCDMA标准所定。有兴趣的话,可以看一些有关数字调制的书,了解使用不同数字调制技术的利与弊。

相关文档
最新文档