一种频率可变的信号发生器设计

一种频率可变的信号发生器设计
一种频率可变的信号发生器设计

一种频率可变的信号发生器设计

摘要函数信号发生器可以使用分立元件晶体管或

者集成电路实现,产生方波、三角波和正弦波等波形,并且可以调整频率。通过555定时器先产生方波,然后通过积分电路将方波变换成三角波,再由方波产生正弦波和五次谐波。本设计介绍了一种方波-三角波-正弦波-五次谐波的电路设计方案。

关键词LM324;三角波;方波;正弦波;五次谐波

中图分类号TN7 文献标识码 A 文章编号1674-6708(2015)150-0171-01

利用555芯片和四运放324芯片,设计制作一个频率可变的同时输出方波、三角波、正弦波、五次谐波的电路。四种波形的频率关系为1::1:5。满足方波、三角波、正弦波和五次谐波的输出频率可调,输出电压幅值在1~5V之间。

1 555定时器

555定时器由两个电压比较器,一个放电开关T和一个

基本RS触发器组成。电压比较器的参考电压由电位器构成

分压,它们分别使高电平比较器C1同相输入端和低电平比

较器C2的反相输入端的参考电平为Vcc和Vcc。DIS是控制电压端,平时输出Vcc作为比较器的参考电压,当555定时

器有输入电压,即改变了比较器的参考电压,从而实现对输出端的控制。当555定时器没有电压输入时,通常接一个0.01F的电容,起滤波作用,用来消除外界的干扰,确保参考电压的稳定。通过555定时器可以输出方波信号,其幅值为1V,频率为40kHz~100kHz。方波产生电路如图1所示。

图1 555定时器方波产生电路

2 LM324

LM324为14脚四输入集成运算放大器芯片,采用双列直插塑料封装形式。芯片内部有四个运算放大器,并有相位补偿电路。电路功耗比较小,工作电压范围比较广,可以使用正负电源1.5V~15V工作,也可以使用正电源3~30V工作。运放的输入电压可低至0V,输出电压范围为0~Vcc。工作电源为四组运放放大器同时供电,四组运算放大器放相互之间可以单独使用。每个运算放大器由5个引脚组成,其中“+”和“-”为同相输入端和反相输入端,“V+”和“V-”为正、负工作电源端,“Vo”为输出端。

3 信号发生器设计电路

3.1 三角波产生电路

积分电路是使输出信号与输入信号的时间积分值成比

例的电路。由电阻R和电容C构成,若时间常数RC足够大,外加电压时,电容充电,电压值慢慢上升。在t<

输出电压近似与输入电压的时间积分值成比例。如果输入信号是一个阶跃电压,理想积分电路的输出是一倾斜的线性电压。555定时器产生的方波信号经过RC积分电路产生三角波信号,设计电路图如图2所示。

图2 三角波产生电路

3.2 正弦波产生电路

正弦波电路采用RC 桥式正弦波振荡器,RC组合电路构成正反馈支路,并且组成选频网络,振荡电路中同时含有负反馈和稳幅环节。通过改变R值,可以调整负反馈的深度,以满足振荡的起振条件和改善正弦波的波形。正弦波电路的振荡频率为:

当电路起振以后,产生正弦波信号。如不能起振,无正弦波信号则说明负反馈太强,应适当调整电阻的阻值。如波形失真严重,需适当改变电容值。改变选频网络的参数C或R,即可改变振荡频率。

3.3 五次谐波产生电路

通过滤波的方式来得到正弦波五次谐波分量,利用

LM324放大器输出的波来作为相应的输入,经过相应的滤波器得到五次谐波的正弦分量,通过RC滤波电路将其中的五次谐波滤出来,其五次谐波设计电路如图3

所示。

图3 五次谐波波产生电路

4 实物焊接与调试

设计完成以后进行焊接和调试,通过参数计算,选择合适的电阻电容。设计完成以后通过示波器观察信号波形,得到方波、三角波、正弦波和五次谐波。

5 结论

在调试过程中,方波由555定时器组成的多谐振荡器所产生,它的输出电压幅值为1V,频率为40kHz,符合设计的要求。产生方波以后,经过由电阻、电容以及LM324运放组成的积分电路分频产生了幅值为4V,频率为10kHz的三角波,符合设计要求。方波经过RC桥式正弦波振荡电路产生了幅值为3V,频率还是为40kHz的正弦波,符合设计要求。方波信号经过RC组成的低通滤波电路产生幅值为4V,频率为247kHz的五次谐波,三角波、正弦波和五次谐波输出波形无明显失真(使用示波器测量时)。经过分析误差不大于10%,通带内输出电压幅度峰峰值误差不大于5%,脉冲波占空比可调整,基本符合设计的要求。

参考文献

[1]华中理工大学电子学教研室,康华光,陈大钦.电子技术基础(模拟部分)[M].4版.北京:高等教育出版社,1999.

[2]西安交通大学电子学教研室,沈尚贤.电子技术导论[M].北京:高等教育出版社,1985.

[3]谢嘉奎.电子线路[M].4版.北京高等教育出版社,1999.

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

多路信号发生器的设计

毕业论文(设计)材料题目:多路信号发生器的设计 学生姓名:施乾东 学生学号:0908030228 系别:电气信息工程学院 专业:电子信息工程 届别:2013 指导教师:张大雷

一、毕业论文(设计)任务书 要求完成的主要任务及达到的目标 信号发生器是一种能提供各种频率、波形和输出电平电信号,常用作测试的信号源或激励源的设备。其又称信号源或振荡器,是可以测试产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类,在生产实践和科技领域中有着广泛的应用。多路信号发生器是信号发生器的一种,其利用单片机控制和DAC0832进行数模转换,通过硬件电路和软件程序相结合,可正弦波、方波、三角波、梯形波及其他任意波形,波形的频率通过软件控制、幅度通过硬件在一定范围内可改变。该信号发生器相较于其他信号发生器,具有体积小、价格低、性能稳定的优点。 要求设计完成一个多路信号发生器: ?了解多种时钟信号的产生方法 ?了解虚拟仪器的具体实际应用 ?通过单片机控制74LS138译码器,对DAC0832进行片选控制基于共阴/阳数码管的方式研究 ?将所学的知识通过设计信号发生器实验可产生各种波形如正弦波、方波、三角波、锯齿波等;来加深对虚拟仪器技术的深层理解 要求所设计的多路信号发生器具有以下功能: 1、能够产生正弦波、矩形波、锯齿波等基本波形信号,并通过修改程序能够产 生任意波形的信号; 2、通过两个按键控制波形类型和频率,一个按键控制信号类型,按下键一依次 改变信号类型和停止产生波形;另一个按键改变信号频率; 3、信号频率、幅值、占空比可调 工作进度要求 2011.12.1——2011.12.28 撰写开题报告 2011.12.29——2011.12.31 拟定论文提纲 2012.1.1——2012.2.28 撰写论文初稿 2012.3.1——2012.4.31 论文修改 2012.5.1——2012.5.14 论文定稿

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

PWM信号发生器的设计程序(veriloghdl)

PWM信号发生器的设计程序 module pwmgen(clk,rst,ce,addr,write,wrdata,read,bytesel,rddata,pwm); input clk,rst,ce; input [1:0]addr; input write,read; input[31:0]wrdata; output[31:0]rddata; input[31:0]bytesel; output pwm; reg[31:0]clk_div_reg,duty_cycle_reg; reg control_reg; reg clk_div_reg_sel,duty_cycle_reg_sel,control_reg_sel; reg[31:0]pwm_cnt,rddata; reg pwm; wire pwm_ena; always@(addr) begin clk_div_reg_sel<=0;duty_cycle_reg_sel<=0;control_reg_sel<=0; case(addr) 2'b00:clk_div_reg_sel<=1; 2'b01:duty_cycle_reg_sel<=1; 2'b10:control_reg_sel<=1; default: begin clk_div_reg_sel<=0; duty_cycle_reg_sel<=0; control_reg_sel<=0; end endcase end always@(posedge clk or negedge rst) begin if(rst==1'b0) clk_div_reg=0; else begin if(write & ce & clk_div_reg_sel) begin if(bytesel[0]) clk_div_reg[7:0]=wrdata[7:0]; if(bytesel[1]) clk_div_reg[15:8]=wrdata[15:8];

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

基于单片机的多功能信号发生器的系统设计与应用

基于单片机的多功能信号发生器的系统设计与应用 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 随着集成芯片制造工艺的进一步发展,一些高性能的波形产生专用芯片逐渐被应用到该领域并获得成功。波形发生装置的电路设计得到进一步简化,而与此同时,所产生的波形的质量却得到了显著提高。例如应用比较广泛的DDS芯片AD9833系列,能制作出各种频带宽,质量高的波形信号,例如应用高性能的AD9833芯片,可以做出频率1GHZ以上,频率分辨率0.1HZ以下的优质波形[2]。 科技不断发展,在各个领域对信号产生电路提出了越来越高的要求。以往那些只具有单一优势的波形发生装置的应用越来越受到限制。例如用模拟器件构成的波形发生器电路简单可靠、信号频率较高,但可调节性差;采用数字电路为核心的波形发生装置所产生的信号可调节性好,但电路复杂,而频率又不易做的很高。较为理想的波形发生装置应该同时具备多方面的优良品质,信号的频带应该较宽,而且步进精确。另外,微型化也是信号产生装置的发展趋势之一,这样,才能将信号发生装置方便的嵌入到各种仪器设备中。随着芯片制造工艺的不断提高,性能更高、体积更小的专用信号处理芯片必将会越来越多地应用到信号产生电路中,使更高质量的信号的产生成为可能。 DDS技术的实现,一般有如下几种可选的方案。首先是使用专用的DDS芯片,例如应用比较广泛的DDS芯片AD9833系列。专用DDS芯片性能可靠,特别是在高频领域,有着无可替代的地位。但在中低频领域,专用DDS芯片却不一定是唯一的选择。

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

pwm波信号发生器

电子技术综合训练 设计报告 题目:PWM信号发生器的设计 姓名: 学号: 班级: 同组成员: 指导教师: 日期: 摘要 本次课程设是基于TTL系列芯片的简易PWM信号发生器,PWM信号发生器应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim10仿真软件。本课程设计介绍了PWM信号发生器的设计方案及其基本原理,并着重介绍了PWM信号发生器各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于

测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字: 目录 1 设计任务和要求…………………………………………………………? 1.1设计任务……………………………………………………………? 1.2设计要求…………………………………………………………….? 2 系统设计…………………………………………………………………? 2.1系统要求…………………………………………………………….? 2.2方案设计……………………………………………………………? 2.3系统工作原理……………………………………………………….? 3 单元电路设计……………………………………………………………? 3.1 单元电路A(单元电路的名称) ……………………………………? 3.1.1电路结构及工作原理……………………………………………? 3.1.2电路仿真…………………………………………………………?

3.1.3元器件的选择及参数确定……………………………………………? 3.2单元电路B(单元电路的名称) ……………………………………? 3.2.1电路结构及工作原理…………………………………………? 3.2.2电路仿真…………………………………………………………? 3.2.3元器件的选择及参数确定…………………………………………….? …… 4 系统仿真……………………………………………………………………?. 5 电路安装、调试与测试……………………………………………………? 5.1电路安装………………………………………………………………? 5.2电路调试………………………………………………………………? 5.3系统功能及性能测试…………………………………………………? 5.3.1测试方法设计………………………………………………………? 5.3.2测试结果及分析……………………………………………………? 6 结论…………………………………………………………………………?

相关文档
最新文档