多功能信号发生器(多种方案完成,超全!!)

多功能信号发生器(多种方案完成,超全!!)
多功能信号发生器(多种方案完成,超全!!)

多功能信号发生器的设计

目录

一.实验总体思路 (2)

二.总体方案设计 (2)

1.方案一 (2)

2.方案二 (2)

3.两种方案对比 (2)

三.主要元器件介绍 (2)

1.STC89C51单片机 (2)

2. DAC0832 DA转换芯片 (3)

3. AD9851芯片 (4)

(1)AD9851芯片介绍 (5)

(2)AD9851模块介绍 (5)

四.实验内容 (6)

1.方案一:利用DAC0832转换芯片 (6)

(1)硬件设计 (6)

a.电路说明 (6)

b.幅值可调实现 (6)

(2)软件设计 (6)

(1)程序原理 (6)

(2)程序内容 (7)

(3)实验结果 (12)

(4)实验中发现的问题及解决方案 (13)

(1)给LM358的供电方式 (13)

(2)频率误差较大 (15)

2.方案二:利用AD9851 芯片 (16)

(1)硬件设计框图 (16)

(2)软件设计 (17)

a.程序原理 (17)

b.程序内容 (18)

(3)实验结果 (20)

(4)实验中发现的问题及解决方案 (21)

五.心得体会 (21)

一.实验总体思路

本实验基于51单片机的最小控制系统,通过控制外围与其相连的芯片来生成方波,正弦波,三角波,锯齿波四种波形,经过对外围控制信号的处理实现改变波形的形状,频率,幅度以及占空比等多种功能。

二.实验方案设计

1.方案一:先对正弦波、三角波、方波信号采样,将这些采样信号以数字量的形式存放在单片机中。通过单片机对按键信号的处理,改变波形的形状,频率,占空比,然后通过DA转换芯片转换成对应波形的模拟量输出,其中可通过可变电阻改变VREF的值来调整幅度。

2.方案二:用单片机控制AD9851模块输出高频波形,通过按键的控制可以精确改变波形的频率。

3.两种方案对比:方案一软硬件结合,硬件成本低,软件起点低,优化型相对比较好,容易实现,且满足设计要求。但是生成的波形频率较低,且与理论值有较大的误差,不容易对频率进行精确的调控。方案二可以生成高达30MHz的正弦波,并且能够精确到1HZ,可以对频率进行精确的调控。但是,芯片成本较高是其最大的缺点。

三.主要元器件介绍

1.STC89C51单片机:如果按功能划分,它由8个部件组成,即微处理器

(CPU)、数据存储器(RAM)、程序存储器(ROM/EPROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SFR)的集中控制方式。

各功能部件的介绍:

1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K 字节。

2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。

3)中断系统:具有5个中断源,2级中断优先权。

4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。

5)串行口:1个全双工的串行口,具有四种工作方式。

6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。

7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。

8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。

A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用;

B、P1口是一个准双向并行口,作通用并行I/O口使用;

C、P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用;

D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。

控制引脚介绍:

1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接2 0引脚。

2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。

振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,使用晶体振荡器

3)RST:当振荡器运行时,在此引脚外加上两个机器周期的高电平将使单片机复位(RST)。我们在此引脚与VCC之间连接一个约8.2千欧的下拉电阻,与引脚之间连接一个约10微法的电容,以保证可靠复位。在单片机正常工作时,此引脚应为≤0。5V低电平。

4)ALE:当访问单片机外部存储器时ALE(地址锁存允许)输出脉冲的负跳沿用于16位地址的底8位的锁存信号。即使不访问外部锁存器,ALE端仍有正脉冲信号输出,此频率约为时钟振荡器的1/6。但是每当访问外部数据存储器时,在两个机器周期中ALE只出现一次,即丢失一个ALE脉冲。因此,严格来说,用户不能用ALE做时钟源或定时。ALE端可以驱动8个TTL负载5)/PSEN(29脚):此脚的输出是单片机访问外部程序存储器的读选通信号。在由外部程序存储器取指令(或常数)期间,每个机器周期PSEN两次有效。但在此期间,每当访问外部数据存储器时,这两次有效的/PSEN信号不出现。/PS EN可以驱动(吸收或者输出电平)8个LSTTL负载。

6)/EA/VPP(31脚):当EA端保持高电平时,单片机访问内部存储器,但在PC值超过0FFFH时,讲自动转向执行外部存储器内的程序。当/EA保持低电平时,则只访问外部程序存储器,不管是否有内部存储器。

2.DAC0832 DA转换芯片:是数字量到模拟量转换的关键一步,它主要

是以模拟电流的形式输出(芯片的11脚和12脚)。然后还需要一步放大才有模拟的电压量输出,得到我们想要的波形。

根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。

DAC0832引脚功能电路应用原理图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步

转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的

反馈电阻可通过RFB端引用片内固有电阻,也

可外接。DAC0832逻辑输入满足TTL电平,可

直接与TTL电路或微机电路连接。

DAC0832引脚功能说明:

●DI0~DI7:数据输入线,TLL电平。

●ILE:数据锁存允许控制信号输入线,

高电平有效。

●CS:片选信号输入线,低电平有效。

●WR1:为输入寄存器的写选通信号。

●XFER:数据传送控制信号输入线,低电

平有效。

●WR2:为DAC寄存器写选通输入线。

●Iout1:电流输出线。当输入全为1时

Iout1最大。

●Iout2: 电流输出线。其值与Iout1之

和为一常数。

●Rfb:反馈信号输入线,芯片内部有反

馈电阻.

●Vcc:电源输入线 (+5v~+15v)

●Vref:基准电压输入线 (-10v~+10v)

●AGND:模拟地,摸拟信号和基准电源的

参考地.

●DGND:数字地,两种地线在基准电源处共地比较好.

采用ADC0809实现A/D转换。

3、LM358集成运放:

特性(Features):

●内部频率补偿

●直流电压增益高(约100dB)

●单位增益频带宽(约1MHz)

●电源电压范围宽:单电源(3—30V);

双电源(±1.5 一±15V)

●低功耗电流,适合于电池供电

LM358

●低输入偏流

●低输入失调电压和失调电流

●共模输入电压范围宽,包括接地

●差模输入电压范围宽,等于电源电压范围

●输出电压摆幅大(0 至Vcc-1.5V)

4.AD9851芯片

(1)AD9851芯片介绍:AD9851是ADI公司采用先进的DDS技术推

出的高集成度DDS频率合成器,它内部包括可编程DDS系统、高性能DAC 及高速比较器,能实现全数字编程控制的频率合成和时钟发生。AD9851接口功能控制简单,可以用8位并行口或串行口直接输入频率、相位等控制数据。32位频率控制字,在180MHz时钟下,输出频率分辨率达0.0372Hz。先进的CMOS工艺使AD9851不仅性能指标一流,而且功耗低,在3.3V供电时,功耗仅为155mW。

关键引脚的介绍:

●D0~D7: 8位并行数据输入口,可给内

部寄存器装入40位控制数据。其中

D7可作为串行输入

● FQ—UD:频率更新控制信号,时钟上

升沿确认输入数据有效。

●W—CLK:字装入信号,上升沿有效。

●RESET:复位端。

●Rset:通过串联一个电阻到地,设置

DAC输出满额时的电流。

●VOUTN:内部比较器负向输出端。

●VOUTP:内部比较器正向输出端。

●VINN:内部比较器负向输入端。

●VINP:内部比较器正向输入端。

●IOUTB:”互补“DAC输出。

●IOUT:内部DAC输出端。

(2)AD9851模块介绍:为了方便用户能

够更方便的使用AD9851芯片,制造

商生产AD9851模块以供使用。以下

就是它的主要特点:

* 模块能够输出正弦波和方波,2 个

正弦波和2 个方波输出。

AD9851:0-70MHz

频率在20-30MHz 后谐波越来越大,

波形会越来越不干净。

方波:0-10MHz

* 采用70MHz 的低通滤波器,使波

形的SN 比更好

* 并口和串口数据输入可以通过一

个跳帽选择

* 产生DA 基准的管脚(PIN12)引

出,方便做输出波形的幅度调节应

用。

* 比较器的基准输入端电压由可变电阻产生,调节该电阻可以得到不同的占空比方波

四.实验内容

1.方案一:利用DAC0832转换芯片

(1)硬件设计

1)电路说明: DAC0832的D0~D7接到了单片机的P0口,cs,wr1分别接着P2^0和P2^1口,IOUT2接地,IOUT1输出电流,经过LM358转换成了电压

2)幅值可调实现:

本实验电压可调就是通过改变8脚的VREF参考电压来实现。

(2)软件设计

a.程序原理

1)主程序:控制产生波形和相应的频率,将256个正弦波,三角波,锯齿波采样点以code型存放在rom中,方波使用延时实现。

2)频率调节原理:通过改变从波形表中取值的个数来改变频率,但是取的值越少波形的失真度越大,所以还要限制频率的改变范围。

3)方波的占空比的调节原理:同时改变高电平和低电平的延时时间,而整个周

期的延时时间没有改变。

4)波形选择原理:s为标志位,通过按键改变标志位的值以调整波形的类型

表 5.1各按键功能及I/O情况

b.程序内容

#include

#define uint unsigned int

#define uchar unsigned char

sbit key1=P3^0;

sbit key2=P3^1;

sbit key3=P3^2;

sbit key4=P3^3;

sbit key5=P3^4;

sbit key6=P3^5;

sbit key7=P3^6;

sbit key8=P3^7;

sbit dacs=P2^0;

sbit dawr=P2^1;

uchar code sin_table[256]={0x80,0x83,0x86,0x89,0x8C,0x8F,0x92,0x95,0x98,0x9B,0x9 E,0xA2,0xA5,0xA7,0xAA,0xAD,0xB0,0xB3,0xB6,0xB9,0xBC,0xBE,0xC1,0xC4,0x C6,0xC9,0xCB,0xCE,0xD0,0xD3,0xD5,0xD7,0xDA,0xDC,0xDE,0xE0,0xE2,0xE4,0 xE6,0xE8,0xEA,0xEB,0xED,0xEE,0xF0,0xF1,0xF3,0xF4,0xF5,0xF6,0xF8,0xF9, 0xFA,0xFA,0xFB,0xFC,0xFD,0xFD,0xFE,0xFE,0xFE,0xFF,0xFF,0xFF,0xFF,0xFF ,0xFF,0xFF,0xFE,0xFE,0xFE,0xFD,0xFD,0xFC,0xFB,0xFA,0xFA,0xF9,0xF8,0xF 6,0xF5,0xF4,0xF3,0xF1,0xF0,0xEE,0xED,0xEB,0xEA,0xE8,0xE6,0xE4,0xE2,0x E0,0xDE,0xDC,0xDA,0xD7,0xD5,0xD3,0xD0,0xCE,0xCB,0xC9,0xC6,0xC4,0xC1,0 xBE,0xBC,0xB9,0xB6,0xB3,0xB0,0xAD,0xAA,0xA7,0xA5,0xA2,0x9E,0x9B,0x98, 0x95,0x92,0x8F,0x8C,0x89,0x86,0x83,0x7F,0x7C,0x79,0x76,0x73,0x70,0x6D ,0x6A,0x67,0x64,0x61,0x5D,0x5A,0x58,0x55,0x52,0x4F,0x4C,0x49,0x46,0x4 3,0x41,0x3E,0x3B,0x39,0x36,0x34,0x31,0x2F,0x2C,0x2A,0x28,0x25,0x23,0x 21,0x1F,0x1D,0x1B,0x19,0x17,0x15,0x14,0x12,0x11,0x0F,0x0E,0x0C,0x0B,0 x0A,0x09,0x07,0x06,0x05,0x05,0x04,0x03,0x02,0x02,0x01,0x01,0x01,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x02,0x02,0x03,0x04,0x05 ,0x05,0x06,0x07,0x09,0x0A,0x0B,0x0C,0x0E,0x0F,0x11,0x12,0x14,0x15,0x1 7,0x19,0x1B,0x1D,0x1F,0x21,0x23,0x25,0x28,0x2A,0x2C,0x2F,0x31,0x34,0x 36,0x39,0x3B,0x3E,0x41,0x43,0x46,0x49,0x4C,0x4F,0x52,0x55,0x58,0x5A,0 x5D,0x61,0x64,0x67,0x6A,0x6D,0x70,0x73,0x76,0x79,0x7C} ;//正弦采样uchar code sanjiao_table[256]={0x00,0x02,0x04,0x06,0x08,0x0A,0x0C,0x0E,0x10,0x12 ,0x14,0x16,0x18,0x1A,0x1C,0x1E,0x20,0x22,0x24,0x26,0x28,0x2A,0x2C,0x2

E,0x30,0x32,0x34,0x36,0x38,0x3A,0x3C,0x3E,0x40,0x42,0x44,0x46,0x48,0x 4A,0x4C,0x4E,0x50,0x52,0x54,0x56,0x58,0x5A,0x5C,0x5E,0x60,0x62,0x64,0 x66,0x68,0x6A,0x6C,0x6E,0x70,0x72,0x74,0x76,0x78,0x7A,0x7C,0x7E,0x80, 0x81,0x83,0x85,0x87,0x89,0x8B,0x8D,0x8F,0x91,0x93,0x95,0x97,0x99,0x9B ,0x9D,0x9F,0xA1,0xA3,0xA5,0xA7,0xA9,0xAB,0xAD,0xAF,0xB1,0xB3,0xB5,0xB 7,0xB9,0xBB,0xBD,0xBF,0xC1,0xC3,0xC5,0xC7,0xC9,0xCB,0xCD,0xCF,0xD1,0x D3,0xD5,0xD7,0xD9,0xDB,0xDD,0xDF,0xE1,0xE3,0xE5,0xE7,0xE9,0xEB,0xED,0 xEF,0xF1,0xF3,0xF5,0xF7,0xF9,0xFB,0xFD,0xFF,0xFD,0xFB,0xF9,0xF7,0xF5, 0xF3,0xF1,0xEF,0xED,0xEB,0xE9,0xE7,0xE5,0xE3,0xE1,0xDF,0xDD,0xDB,0xD9 ,0xD7,0xD5,0xD3,0xD1,0xCF,0xCD,0xCB,0xC9,0xC7,0xC5,0xC3,0xC1,0xBF,0xB D,0xBB,0xB9,0xB7,0xB5,0xB3,0xB1,0xAF,0xAD,0xAB,0xA9,0xA7,0xA5,0xA3,0x A1,0x9F,0x9D,0x9B,0x99,0x97,0x95,0x93,0x91,0x8F,0x8D,0x8B,0x89,0x87,0 x85,0x83,0x81,0x80,0x7E,0x7C,0x7A,0x78,0x76,0x74,0x72,0x70,0x6E,0x6C, 0x6A,0x68,0x66,0x64,0x62,0x60,0x5E,0x5C,0x5A,0x58,0x56,0x54,0x52,0x50 ,0x4E,0x4C,0x4A,0x48,0x46,0x44,0x42,0x40,0x3E,0x3C,0x3A,0x38,0x36,0x3 4,0x32,0x30,0x2E,0x2C,0x2A,0x28,0x26,0x24,0x22,0x20,0x1E,0x1C,0x1A,0x 18,0x16,0x14,0x12,0x10,0x0E,0x0C,0x0A,0x08,0x06,0x04,0x02};//三角波采样

uchar code juchibo_table[128]={0xFF,0xFD,0xFB,0xF9,0xF7,0xF5,0xF3,0xF1,0xEF,0xED ,0xEB,0xE9,0xE7,0xE5,0xE3,0xE1,0xDF,0xDD,0xDB,0xD9,0xD7,0xD5,0xD3,0xD 1,0xCF,0xCD,0xCB,0xC9,0xC7,0xC5,0xC3,0xC1,0xBF,0xBD,0xBB,0xB9,0xB7,0x B5,0xB3,0xB1,0xAF,0xAD,0xAB,0xA9,0xA7,0xA5,0xA3,0xA1,0x9F,0x9D,0x9B,0 x99,0x97,0x95,0x93,0x91,0x8F,0x8D,0x8B,0x89,0x87,0x85,0x83,0x81,0x80, 0x7E,0x7C,0x7A,0x78,0x76,0x74,0x72,0x70,0x6E,0x6C,0x6A,0x68,0x66,0x64 ,0x62,0x60,0x5E,0x5C,0x5A,0x58,0x56,0x54,0x52,0x50,0x4E,0x4C,0x4A,0x4 8,0x46,0x44,0x42,0x40,0x3E,0x3C,0x3A,0x38,0x36,0x34,0x32,0x30,0x2E,0x 2C,0x2A,0x28,0x26,0x24,0x22,0x20,0x1E,0x1C,0x1A,0x18,0x16,0x14,0x12,0 x10,0x0E,0x0C,0x0A,0x08,0x06,0x04,0x02};//锯齿波采样

uchar saomiao;

uint d1,d2,p,s,x,g,f,num;

void delay(uint t)

{

uint j;

while(t--)

for(j=0;j<11;j++);

}

void panduan()

{

P3=0xff;

saomiao=P3;

if(saomiao!=0xff)

{

delay(100);

saomiao=P3;

if(saomiao!=0xff)

{

if(key1==0)

s=1;

if(key2==0)

s=2;

if(key3==0)

s=3;

if(key4==0)

s=4;

if(key5==0)

{

d1++;

d2--;

if(d1>=20)d1=20;

if(d2<=10)d2=10;

}

if(key6==0)

{

d1--;

d2++;

if(d1<=10)d1=10;

if(d2>=20)d2=20;

}

if(key7==0)

{

p++;

if(p>=6)p=6;

}

if(key8==0)

{

p--;

if(p<=1)p=1;

}

while(P3!=0xff);

}

}

}

void fangbo()

{

P0=255;

delay(d1-p);

P0=0;

delay(d2-p);

}

void sanjiaobo()

{

P0=sanjiao_table[x];

x+=p;

if(s>=255)x=0;

}

void juchibo()

{

//uint g;

P0=juchibo_table[g];

g+=p;

if(g>=127)g=0;

}

void zhengxianbo()

{

P0=sin_table[f];

f+=p;

if(f>=255)f=0;

}

void main()

{

d1=15; // 改变占空比

d2=15;

p=4; //改变频率

P0=0xff;

dawr=0; // 拉低让DAC0832芯片开始转换dacs=0; // 拉低让DAC0832芯片开始转换

while(1)

{

panduan();

if(s==1)

{

while(1)

{

fangbo();

panduan();

if(s!=(1&5&6&7&8))

break;

}

}

if(s==2)

{

while(1)

{

sanjiaobo();

panduan();

if(s!=(2&5&6&7&8))

break;

}

}

if(s==3)

{

while(1)

{

juchibo();

panduan();

if(s!=(3&5&6&7&8))

break;

}

}

if(s==4)

{

while(1)

{

zhengxianbo();

panduan();

if(s!=(4&5&6&7&8))

break;

}

}

}

}

(3)实验结果

生成的正弦波、三角波、方波,锯齿波的波形图

(4)实验中发现的问题及解决方案

1)给LM358的供电方式

由于在做实验时没有对运算放大器的原理以及所连电路搞清楚,导致输出的波形失真度较大。后来通过查资料了解到需要用双电源为它提供稳定的工作电压,如果只是单电源供电则会导致波形失真,输出的电压也不够。在实验室中,只需将稳压电源的正极接地,从负极就能输出负电源,这样便解决了只能输出正电源的问题。当然,还可以用LM7912稳压芯片输出-12V的电压,由于时间有限我没有选择此解决方案。以下是波形失真图形

锯齿波失真图形:

正弦波失真图形:

2)频率误差较大

将一个最简单的生成正弦波的程序烧入单片机并观察现象,发现实际与理论的差距非常大。理论上,单片机完成一次循环并生成波形需要的时间是4us(包

括DA芯片转换的时间1us),而实际上需要15us,所以生成波形的频率为255Hz

(取的是256个点),这应该是STC80c51单片机输出正弦波形的极限。经过误差分析,得出结论:由于51单片机的性能较差, I/O口的电平翻转需要较长的时间,最终导致误差较大。以下为所写程序:(省略正弦波的256个采样点)#include

sbit dacs=P2^0;

sbit dawr=P2^1;

void main()

{

dacs=0;

dawr=0;

while(1)

{

unsigned int s;

P0=sanjiao_table[s];

s++;

if(s>=255)s=0;

}

}

后来,通过在此程序的基础上加入中断服务程序以进一步精确的测量误差值。以下是程序的主函数内容:

void main()

{

Unsigned int q;

dacs=0;

dawr=0;

TMOD=0x01;

TH_0=(65536-q)/256;

TL_0=(65536-q)%256;

TH0=TH_0;

TL0=TL_0;

EA=1;

ET0=1;

TR0=1;

while(1);

}

void T0_time() interrupt 1

{

TH0=TH_0;

TL0=TL_0;

P0=zhengxianbo_table[s];

s++;

if(s>=255)s=0;

}

程序说明:通过改变q的值来改变查表一次的时间,从而改变波形的频率,

值大于27us时,实际值与理论值的差距保持在14us左右,呈线性关系,因此可以根据初值的大小较为精确的计算出输出波形的频率大小。

2.方案二:利用AD9851芯片

(1)硬件设计框图

说明:由于此处使用的是AD9851模块,在模块上集成了低通滤波电路和功率放大电路,因此只需要将D0~D7,CLK,FQ,RST与单片机相连,就可以从模块对应引脚上直接输出想要的波形。可以从一个引脚上直接输出正弦波,也可以将此引脚直接接到比较器的输入端,并加上一个0.5V的比较电压,在输出端输出方波。

(2)软件设计

a.程序原理

1)频率调节原理:频率=2^32/M*1/180000000

AD9851频率控制字、相位调节字以及可以采用并行或串行方式异步加载到芯片内部。并行加载模式有连续5个8位字节构成,其中第一个8位字节包括

5位相位调节字、1位6*REFCLK倍频器控制、1位电源休眠使能和一位加载模式;其余4个字节表示32位的频率控制字。串行加载模式由40位的数据流构成。

DDS电路可以看成是一个由系统时钟和N位频率控制字决定的数字分频器,相位累加器相当于模值可变的计数器。由频率控制字决定该计数器的模值,在下一个时钟脉冲开始相位累加器以新的相位增量进行累加。设置的相位增量越大,累加器循环一周就越快,从而输出的频率就越高。

其中M为32控制字的大小,也决定了相位累加器的模值,乘以时钟的频率从而决定了波形的频率

2)模式原理

1*并行传输模式:此时单片机利用P1的8个I/O口同时向芯片传输数据,通过运算将32位频率控制字按8位分别赋给w1,w2,w3,w4,同时令w0=0x01(开启6*REFCLK倍频器控制),然后利用单片机I/O口高低电平的变化模拟芯片的时序,将这40位数据流按顺序传给芯片。以下是芯片并行传输的时序图:

2*串行传输模式:此时芯片的D7口与单片机相连,单片机用一个I/O口向芯片传输数据,通过运算将32位频率控制字一位一位的分解出来,按照时序关系分别传给芯片。以下是芯片串行传输的时序图:

b.程序内容

1)并行传输模式

#include

#define uchar unsigned char

#define uint unsigned int

sbit reset=P2^2;

sbit clk=P2^0;

sbit fq=P2^1;

sbit f=P2^7;

unsigned long int a;

void chuli(uchar w0,unsigned long int pinlv)

{

uchar w1,w2,w3,w4;

unsigned long int jishu; //长整形为4个字节

jishu=23.86092942*pinlv;

w4=jishu&0xff;

jishu=jishu>>8;

w3=jishu&0xff;

jishu=jishu>>8;

w2=jishu&0xff;

jishu=jishu>>8;

w1=jishu&0xff;

clk=0; //按照时序控制芯片fq=0;

P0=w0;_nop_();clk=1;clk=0;

P0=w1;_nop_();clk=1;clk=0;

P0=w2;_nop_();clk=1;clk=0;

P0=w3;_nop_();clk=1;clk=0;

P0=w4;_nop_();clk=1;clk=0;

fq=1;

fq=0;

}

void delayms(uint t)

{

uint j;

while(t--)

for(j=0;j<110;j++);

}

void main()

{

a=5000; //频率大小

f=0;

reset=1; //让芯片复位

delayms(10);

reset=0;

delayms(10);

chuli(0x01,a);

while(1);

}

2)串行传输模式

#include

sbit clk=P2^0;

sbit fq=P2^1;

sbit reset=P2^2;

sbit send=P0^7;

void delayms(unsigned int t)

{

unsigned int j;

while(t--)

for(j=0;j<110;j++);

}

void senddata(unsigned char w0,unsigned long int pinlv) {

unsigned long int jishu;

unsigned int l,k;

jishu= 23.86092942*pinlv;

fq=0;

for(l=0;l<32;l++)

{

send=jishu&0x01;

jishu=jishu>>1;

clk=1;clk=0;

}

for(k=0;k<8;k++)

{

send=w0&0x01;

w0=w0>>1;

clk=1;clk=0;

}

fq=1;

fq=0;

}

void main()

{

unsigned long int a=1000000;

clk=0;

fq=0;

reset=1;

delayms(10);

reset=0;

delayms(10);

while(1)

{

senddata(0x01,a) ;

}

}

(3)实验结果

生成的正弦波,频率为1MHz,由于示波器精度不够,所以显示不出来20MHz 的波形。

如果将正弦输出的引脚接到比较器上,并接一个0.5V的比较电压,则可以生成方波,然而,由于稳压电源生成的0.5V电压不稳定,所以生成的波形有一点失真

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

开题报告(简易多功能信号发生器)

枣庄学院 本科生毕业设计(论文) 开题报告 (20**届) 简易多功能信号发生器的电路设计 姓名:*** 学号:20080613**** 专业:过程装备与控制工程 班级:2008级本科(*)班 学院:机电工程学院 指导老师:** 20**年2月25日

一、研究的目的与意义 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。高档台式仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统。虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统。虚拟仪器是以计算机为基础,配以相应测试功能的硬件作为信号输入输出的接口,利用虚拟仪器软件开发平台在计算机的屏幕上虚拟出仪器的面板,人们通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关和按键,去选用仪器功能,设置各种工作参数,启动或停止一台仪器的工作。它能够实现普通仪器的全部功能,如示波器、逻辑分析仪、信号发生器、频谱分析仪等,也能够实现一些在普通仪器上无法实现的功能。这种方式不但让用户享用到通用 PC 机不断升级的性能,还可体会到完全自定义的测量系统功能的灵活性,最终构建起满足特定需求的系统。虚拟仪器是测试技术与计算机深层次结合的产物,其实质是利用最新的计算机技术来实现和扩展传统仪器的功能。虚拟仪器应用软件是整个系统的关键。因此,从某种意义上可以说:软件就是仪器。与传统的仪器相比,虚拟仪器更通用、更灵活、更经济,而且更能适应当代科学技术对测量仪器不断提出的更新、扩展功能和性能的要求。 二、国内外的研究状况 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用 555 振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的 RC 很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

基于单片机的多功能信号发生器的系统设计与应用

基于单片机的多功能信号发生器的系统设计与应用 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 随着集成芯片制造工艺的进一步发展,一些高性能的波形产生专用芯片逐渐被应用到该领域并获得成功。波形发生装置的电路设计得到进一步简化,而与此同时,所产生的波形的质量却得到了显著提高。例如应用比较广泛的DDS芯片AD9833系列,能制作出各种频带宽,质量高的波形信号,例如应用高性能的AD9833芯片,可以做出频率1GHZ以上,频率分辨率0.1HZ以下的优质波形[2]。 科技不断发展,在各个领域对信号产生电路提出了越来越高的要求。以往那些只具有单一优势的波形发生装置的应用越来越受到限制。例如用模拟器件构成的波形发生器电路简单可靠、信号频率较高,但可调节性差;采用数字电路为核心的波形发生装置所产生的信号可调节性好,但电路复杂,而频率又不易做的很高。较为理想的波形发生装置应该同时具备多方面的优良品质,信号的频带应该较宽,而且步进精确。另外,微型化也是信号产生装置的发展趋势之一,这样,才能将信号发生装置方便的嵌入到各种仪器设备中。随着芯片制造工艺的不断提高,性能更高、体积更小的专用信号处理芯片必将会越来越多地应用到信号产生电路中,使更高质量的信号的产生成为可能。 DDS技术的实现,一般有如下几种可选的方案。首先是使用专用的DDS芯片,例如应用比较广泛的DDS芯片AD9833系列。专用DDS芯片性能可靠,特别是在高频领域,有着无可替代的地位。但在中低频领域,专用DDS芯片却不一定是唯一的选择。

简易信号发生器课程设计1

2011~ 2012学年第二学期 《简易信号发生器》 课程设计报告 题目:简易信号发生器设计 专业:电气工程及其自动化 班级:10电气工程本一班 姓名:李鹏、王松、薛冬冬、汤聪、戚传 东、刘欢冯登宇、张正义、、靳垒垒、 杨磊 指导教师:江春红 电气工程系 2012年5月04日

1、任务书

-1- 简易信号发生器设计 摘要 信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。它根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038,Max038以及国产的5G8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 通过这次设计,我们的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索等多方面的查询资料,我们学到许多在书本上没有的知识,也认识到理论联系实践的重要。理论学得好,但如果只会纸上谈兵,一点用都没有。以后也很难找到工作。通过本次设计能使我们对电子工艺的理论有了更进一步的系统了解。我们了解到了设计小电子产品的一些常规方法,以及培养了我们团队合作的能力,在讨论设计方案,计算元件参数,购买元件,制作电路板,安装调试方面都体会到了团队的力量。 本次课程设计的课题是简易信号发生器,本课程设计将简易信号的工作原理、参数计算、元件选取等做详细的介绍和说明。

+基于FPGA的多功能信号发生器

基于FPGA的多功能信号发生器 一.试验目的: 1.了解GW48-CK综合实验箱结构 2.熟悉VHDL语言 3.了解FPGA芯片(EP1K30TC144-3)结构及引脚 4.了解D/A芯片(DAC0832)结构[ 5.熟悉FPGA设计软件quartus ii 9.0的使用 6.掌握产生三角波,锯齿波,梯形波的原理 7.学会用FPGA设计多功能信号发生器 二.试验仪器及设备: 1.pc机 2.GW48-CK型FPGA综合试验箱 3.FPGA芯片:EP1K30TC144-3 4.D/A芯片:DAC0832 5.示波器 6.quartus ii 9.0仿真软件 三.实验要求: .设计基于FPGA的多功能信号发生器,此信号发生器可产生的波形有:正弦波,方波,三角波,斜升锯齿波,斜降锯齿波,梯形波,阶梯波,双阶梯波中的六种。 设计软件要求用quartus ii,先用该软件仿真,再接上FPGA试验箱,编译,运行并下载到实验箱,用示波器观察期指定输出端波形。 四.试验原理: 1.基于QUASTUS II 9.0平台,利用DDS(直接数字信号合成)技术,采用 VHDL语言,设计一波形信号发生器。首先根据对各波形的幅度进行采样,获得各波形的波形数据表,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入GW48-CK 实验板上的D/A转换芯片进行转换为模拟信号,最后送入滤波电路滤波后输出。 2.实验整体框图如下:由方波模块(niushengli_fb)、阶梯波模块 (niushengli_jtb)、正弦波模块(niushengli_sin)、三角波模块(niushengli_sjb)、斜升锯齿波模块(niushengli_xsjcb)、斜降锯齿波模块(niushengli_xjjcb)、6选1选择器(niushengli_mux61)以及反向器(not)组成。

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

函数信号发生器

基于labview的函数信号发生器的设计 [摘要] 介绍一种基于labvIEW环境下自行开发的虚拟函数信号发生器,它不仅能够产 生实验室常用的正弦波、三角波、方波、锯齿波信号,而且还可以通过输入公式,产生测试和研究领域所需要的特殊信号。对任意波形的发生可实现公式输入;对信号频率、幅度、相位、偏移量可调可控;方波占空比可以调控;噪声任意可加、创建友好界面、信号波形显示;输出频谱特性;所有调制都可微调与粗调。该仪器系统操作简便,设计灵活,功能强大,可以完成不同环境下的测量要求。因此具有很强的实用性。 关键词:虚拟仪器,labvIEW,虚拟函数信号发生器,正弦波,三角波,方波,锯齿波, 特殊信号。 引言: 在有关电磁信号的测量和研究中,我们需要用到一种或多种信号源,而函数信号发生器则为我们提供了在研究中所需要的信号源。它可以产生不同频率的正弦波,方波,三角波,锯齿波,正负脉冲信号,调频信号,调幅信号和随机信号等。其输出信号的幅值也可以按需要进行调节。传统信号发生器种类繁多,价格昂贵,而且功能固定单一,不具备用户对仪器进行定义及编程的功能,一个传统实验室很难拥有多类信号发生器。然而,基于虚拟仪器技术的实验室均能满足这一要求。 1、虚拟仪器简介: 自从1986年美国NI(National Instrument)公司提出虚拟仪器的概念以来,随着计 算机技术和测量技术的发展,虚拟仪器技术也得到很快的发展。虚拟仪器是指:利用现有的PC机,加上特殊设计的仪器硬件和专用软件,形成既有普通仪器的基本功能,又有一般仪器所没有的特殊功能的新型仪器。与传统的仪器相比其特点主要有:具有更好的测量精度和可重复性;测量速度快;系统组建时间短;由用户定义仪器功能;可扩展性强;技术更新快等。虚拟仪器以软件为核心,其软件又以美国NI公司的Labview虚拟仪器软件开发平台最为常用。Labview是一种图形化的编程语言,主要用来开发数据采集,仪器控制及数据处理分析等软件,功能强大。目前,该开发软件在国际测试、测控行业比较流行,在国内的测控领域也得到广泛应用。函数信号发生器是在科学研究和工程设计中广泛应用的一种通用仪器。下面结合一个虚拟函数信号发生器设计开发具体介绍基于图形化编程语言Labview的虚拟仪器编程方法与实现技术。 2、虚拟函数信号发生器的结构与组成 2.1 虚拟函数信号发生器的前面板

多功能信号发生器课程设计要点

课题:多功能信号发生器专业:电子信息工程 班级:1班 学号: 姓名: 指导教师:汪鑫 设计日期: 成绩: 重庆大学城市科技学院电气学院

多功能信号发生器设计报告 一、设计目的作用 1.掌握简易信号发生器的设计、组装与调试方法。 2.能熟练使用multisim10电路仿真软件对电路进行设计仿真调试。 3.加深对模拟电子技术相关知识的理解及应用。 二、设计要求 1.设计任务 设计一个能够输出正弦波、方波、三角波三种波形的信号发生器,性能要求如下: (1)输出频率,f=20Hz-5kHz 连续可调的正弦波、方波、三角波; (2)输出正弦波幅度V=0-5V可调,波形的非线性失真系数<=5%; (3)输出三角波幅度V=0-5V可调。 (4)输出方波幅度可在V=0-12V之间可调。 2.设计要求 (1)设计电路,计算电路元件参数,拟定测试方案和步骤; (2)测量技术指标参数; (3)写出设计报告。 三、设计的具体实现 1、系统概述 1.1正弦波发生电路的工作原理: 产生正弦振荡的条件: 正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。 正弦波振荡电路的组成判断及分类: (1)放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。 (2)选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生正弦波振荡。 (3)正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。(4)稳幅环节:也就是非线性环节,作用是输出信号幅值稳定。 判断电路是否振荡。方法是: (1)是否满足相位条件,即电路是否是正反馈,只有满足相位条件才可能产

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

相关文档
最新文档