实验10数码管显示电路原理图绘制(精)

合集下载

单片机数码管动态显示

单片机数码管动态显示

动态显示1.掌握LED数码管显示及其一般电路结构;2.掌握LED动态显示程序的一般设计方法。

一、实验内容动态显示,也称为扫描显示。

显示器由6个共阴极LED数码管构成。

单片机的P0口输出显示段码,由一片74LS245输出给LED管;由P1口输出位码,经74LS04输出给LED显示。

二、实验步骤1、打开Proteus ISIS编辑环境,按下表所列的元件清单添加元件。

图1 动态显示实验电路原理图2、按实验要求在KeilC中创建项目,编辑、编译程序。

3、将编译生成的目标码文件(后缀为.Hex)传入Proteus的实验电路中。

4、在Proteus ISIS仿真环境中运行程序,观察实验运行结果并记录。

三、实验要求1.编写一显示程序显示201071;2.显示特殊字符good;3.调整软件延时子程序的循环初值,逐渐加大每一位LED点亮的时间,观察程序运行结果。

四、参考程序dbuf equ 30h ;置存储区首址temp equ 40h ;置缓冲区首址org 00hmov 30h,#2 ;存入数据mov 31h,#0mov 32h,#1mov 33h,#0mov 34h,#7mov 35h,#1mov r0,#dbufmov r1,#tempmov r2,#6 ;六位显示器mov dptr,#segtab ;段码表首地址dp00: mov a,@r0 ;取要显示的数据movc a,@a+dptr ;查表取段码mov @r1,a ;段码暂存inc r1inc r0djnz r2,dp00disp0: mov r0,#temp ;显示子程序mov r1,#6 ;扫描6次mov r2,#01h ;从第一位开始dp01: mov a,@r0mov p0,a ;段码输出mov a,r2 ;取位码mov p1,a ;位码输出acall delay ;调用延时mov a,r2rl amov r2,ainc r0djnz r1,dp01sjmp disp0segtab: db 3fh,06h,5bh,4fh,66hdb 6dh,7dh,07h,7fh,6fhdelay: mov r4,#03h ;延时子程序aa1: mov r5,0ffhaa: djnz r5,aadjnz r4,aa1retend实验原理MCS-51单片机内设置了两个可编程的16位定时器T0和T1,通过编程,可以设定为定时器和外部计数方式。

(VHDL实验报告)数码管显示(一位数码管显示0-9,八位数码管显示学号后八位)

(VHDL实验报告)数码管显示(一位数码管显示0-9,八位数码管显示学号后八位)
七段码管位选输入信号 七段码管位选输RTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个VHDL File,打开VHDL 编辑器对话框。 3、按照实验原理和自己的想法,在VHDL 编辑窗口编写VHDL 程序。其 程序如下所示:
(1)一位数码管显示0-9:
电子科技大学成都学院学院
标准实验报告
(实验)课程名称 数字电路 EDA 设计与应用
姓名 乱弹的枇杷 学号 专业 指导教师
一、 实验名称 数码管显示(一位数码管显示 0-9,八位数码管显示学号
后八位)
二、 实验目的 1、了解数码管的工作原理。 2、学习七段数码管显示译码器的设计。 3、掌握 VHDL 的 CASE 语句及多层次设计方法。
信号名称 7SEG-A 7SEG-B 7SEG-C 7SEG-D 7SEG-E 7SEG-F 7SEG-G 7SEG-DP 7SEG-SEL0 7SEG-SEL1 7SEG-SEL2
对应 FPGA 管脚名
F13 F14 F15 E15 F16 F17 E18 F18 G18 G17 G16
说明 七段码管 A 段输入信号 七段码管 B 段输入信号 七段码管 C 段输入信号 七段码管 D 段输入信号 七段码管 E 段输入信号 七段码管 F 段输入信号 七段码管 G 段输入信号 七段码管 dp 段输入信号
7、分配完成后,再进行一次全编译,以使管脚分配生效。 8、新建波形文件,对程序进行仿真,其仿真波形如下所示:
(1)一位数码管显示0-9:
(2)八位数码管显示学号后八位:
9、用下载电缆通过JTAG 口将对应的sof 文件加载到FPGA 中。观察实验 结果是否与自己的编程思想一致。
六、实验现象及结果 以设计的参考示例为例,当设计文件加载到目标器件后,将数字

数码管电路实验报告

数码管电路实验报告

1317408051 张福超13通信工程数码管显示电路实验报告一·方案选择数码管显示实验二·原理分析74LS48引脚原理图和真值表如下74LS192的功能表74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示:图5-4 74LS192的引脚排列及逻辑符号(a)引脚排列(b) 逻辑符号图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。

其功能表如下:输入输出MR P3 P2 P1 P0 Q3 Q2 Q1 Q01 ×××××××0 0 0 00 0 ×× d c b a d c b a××××加计数0 110 1 1 ××××减计数根据74LS192的功能表可以看出MR保持低电平时开始技术,高电平时清除计数重新开始,为高电平时为低电平时为加计数器,11引脚默认接低电平,每次来一个高电平信号时加计数器加一,11再次变为低电平时,输出上次所加计数得到的数由Q3~Q0输出相应的二进制数值。

74LS192D输出的二进制数值给74LS48D模块后,自动转换为数码管可是别的七个高低电平,并以十进制的形式显示。

数码管采用共阴极,根据以上要求可以画出如下电路仿真图。

经过实验仿真验证,可以达到如下要求:加上电源后数码管显示数值0,J1开关每次按下数码管都会自动加1,一旦J2开关按下,数码管又会马上复位为0,重新开始。

电阻R2为防止电流过大损坏电路。

三·安装调试焊接结束后进行通电调试,随着开关按键次数的增加,显示器的数字从1至9,当清0键按下,数字变为0,测试结果与理论相符。

键盘与数码管显示实验

键盘与数码管显示实验

R72 10K
S14 SW-PB S18 SW-PB S22 SW-PB S26 SW-PB
R73 10K
S15 SW-PB S19 SW-PB S23 SW-PB S27 SW-PB
D2 Diode
D3 Diode
D4 Diode
D5 Diode
J33 1 2 3 4 5 6 7 8
Header 8
R94
R95
Res1
Res1
Res1
Res1
Res1
Res1
Res1
Res1
330R
330R
330R
330R
330R
330R
330R
330R
DS1
11 7 4 2 1 10 5 3
a b DIG1 c d e f g DP
HDSP-B09G
DIG2 DIG3
DP3
DP2
K1 DIG4 K2
K3 K4
9 GND COM D 10
ULN2803A GND
VCC
Pad_DS_K1 Pad_DS_K2 Pad_DS_K3 Pad_DS_K4
Pad_DS_K5
Pad_DS_K6
Pad_DS_K7
Pad_DS_K8 J37
S8550
R80 Res1 10K Q20
S8550
R81 Res1 10K Q21
键盘与数码管显示
一、4X4键盘 二、LED显示器的基本结构 三、实验箱原理图 四、STC15 I/O口 工作模式配置 五、实验要求
一、4X4键盘
5V
行线(输出)
•键特征值的判断
列线(输入)
•键盘控制方式:扫描、中断

数码管显示设计

数码管显示设计

数码管显示设计数码管是非常常见的东西,他能显示数字,以及字母,应用非常的广泛,本文我来和大家谈谈如何用单片机来驱动数码管数码管的结构数码管由7个发光二极管组成,行成一个日字形,它门可以共阴极,也可以共阳极.通过解码电路得到的数码接通相应的发光二极而形成相应的字,这就是它的工作原理.基本的半导体数码管是由7个条状的发光二极管(LED)按图1所示排列而成的,可实现数字"0~9"及少量字符的显示。

另外为了显示小数点,增加了1个点状的发光二极管,因此数码管就由8个LED组成,我们分别把这些发光二极管命名为"a,b,c,d,e,f,g,dp",排列顺序如下图1。

数码管引脚图及外形图数码管按各发光二极管电极的连接方式分为共阳数码管和共阴数码管两种。

共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。

共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。

当某一字段的阳极为低电平时,相应字段就不亮。

共阴数码管内部连接如图3所示。

共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。

共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。

当某一字段的阴极为高电平时,相应字段就不亮。

共阳数码管内部连接如图2所示图2:共阳数码管内部连接图数码管的显示方式数码管要正常显示,就要用驱动电路来驱动数码管的各个段码,从而显示出我们要的数字,因此根据数码管的驱动方式的不同,可以分为静态式和动态式两类。

①动态显示驱动:数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告实验题目:数码管动态显示实验学院名称:专业:电子信息工程班级:姓名:高胜学号小组成员:指导教师:一、实验目的学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。

二、设计任务及要求1、在SmartSOPC实验箱上完成数码管动态显示自己学号的后八个数字。

2、放慢扫描速度演示动态显示的原理过程。

三、系统设计1、整体设计方案数码管的八个段a,b,c,d,e,f,g,h(h是小数点)都分别连接到SEG0~SEG7,8个数码管分别由八个选通信号DIG0~DIG7来选择,被选通的数码管显示数据,其余关闭。

如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口SEG0~SEG7加上该对应数码管上显示的数据,于是随着选通信号的扫描就能实现动态扫描显示的目的。

虽然每次只有1个数码管显示,但只要扫描显示速率足够快,利用人眼的视觉余辉效应,我们仍会感觉所有的数码管都在同时显示。

2、功能模块电路设(1)输入输出模块框图(见图1)图1(2)模块逻辑表达(见表1)表1(数码管显示真值表)clk_1k dig seg↑01111111 C0↑10111111 F9注:数码管显示为01180121(3)算法流程图(见图2)(4)Verilog源代码module scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0]count; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule四、系统调试(1)仿真代码`timescale 1ns/1nsmodule scan_ledfz;reg clk_1k;reg[31:0] d;wire[7:0] dig;wire[7:0] seg;parameter dely=100;scan_led u1(clk_1k,d,dig,seg);always #(dely/2)clk_1k=~clk_1k;initial beginclk_1k=0;d=32'h01180134;#dely ;#dely ;#dely ;#dely ;#dely ;#(dely*20);#dely $finish;endinitial $monitor($time,,,"%b,%d,%h,%h",clk_1k,d,dig,seg); endmodulemodule scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0] count=3'b000; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule位码代码仿真代码`timescale 1ns/1nsmodule smg_tp; //测试模块的名字reg [2:0] c; //测试输入信号定义为reg型wire[7:0] dig; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒wei u1(c,dig); //调用测试对象initial begin //激励波形设定c=3'b0;#DEL Y c=3'b001 ;#DEL Y c=3'b010 ;#DEL Y c=3'b100 ;#DEL Y c=3'b101 ;#DEL Y c=3'b110 ;#DEL Y c=3'b111 ;#DEL Y $finish;endinitial $monitor($time,,,"dig=%d,c=%b ",dig,c); //输出格式i定义endmodulemodule wei(c,dig); //命名模块名字input[2:0] c;output[7:0] dig; //定义输入与输出reg[7:0] dig_r;reg[2:0] c_r; // 定义dig_r与c_r2个reg型数据assign dig=dig_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin c_r=c;case (c_r)3'b000:dig_r=8'b11111110; //c_r的数据变化而dig_r对于的数据变化3'b001:dig_r=8'b11111101;3'b010:dig_r=8'b11111011;3'b011:dig_r=8'b11110111;3'b100:dig_r=8'b11101111;3'b101:dig_r=8'b11011111;3'b110:dig_r=8'b10111111;3'b111:dig_r=8'b01111111;default: dig_r=8'b11111111;endcase //结束case语句end //结束always语句endmodule //结束程序译码器代码仿真代码`timescale 1ns/1nsmodule duan_tp; //测试模块的名字reg[3:0] a; //测试输入信号定义为reg型wire[7:0] seg; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒duan u1(a,seg); //调用测试对象initial begin //激励波形设定a=4'b0;#DELY a=4'b0001;#DELY a=4'b0010;#DELY a=4'b0011;#DELY a=4'b0100;#DELY a=4'b0101;#DELY a=4'b0110;#DELY a=4'b0111;#DELY a=4'b1000;#DELY a=4'b1001;#DELY a=4'b1010;#DELY a=4'b1011;#DELY a=4'b1100;#DELY a=4'b1101;#DELY a=4'b1110;#DELY a=4'b1111;#DELY $finish;endinitial $monitor($time,,,"seg=%d,a=%b",seg,a); //输出格式i定义endmodulemodule duan(a,seg); //命名模块名字input[3:0] a;output[7:0] seg; //定义输入与输出reg[7:0] seg_r;reg[3:0] a_r; // 定义seg_r与a_r2个reg型数据assign seg=seg_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin a_r=a;case(a_r) //七段译码4'b0000:seg_r = 8'hc0; //显示04'b0001:seg_r = 8'hf9; //显示14'b0010:seg_r = 8'ha4; //显示24'b0011:seg_r = 8'hb0; //显示34'b0100:seg_r = 8'h99; //显示44'b0101:seg_r = 8'h92; //显示54'b0110:seg_r = 8'h82; //显示64'b0111:seg_r = 8'hf8; //显示74'b1000:seg_r = 8'h80; ///显示84'b1001:seg_r = 8'h90; //显示94'b1010:seg_r = 8'h88; //显示a4'b1011:seg_r = 8'h83; //显示b4'b1100:seg_r = 8'hc6; //显示c4'b1101:seg_r = 8'ha1; //显示d4'b1110:seg_r = 8'h86; //显示e4'b1111:seg_r = 8'h8e; ///显示f endcase //结束case语句end //结束always语句endmodule //结束程序(2)仿真波形图(3)引脚图五、实验感想通过这次实验,让我学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。

数码管的工作原理

数码管的工作原理

数码管的工作原理数码管是一种用于显示数字和一些简单字符的电子显示装置。

它由多个发光二极管组成,每个发光二极管可以显示一个数字或字符。

数码管的工作原理简单而有效,下面将详细介绍。

数码管的基本构造是由七段发光二极管组成,这些发光二极管分别标记为a、b、c、d、e、f和g段。

每个段都可以发出红色、绿色或蓝色的光。

通过控制每个段的亮灭状态,可以显示不同的数字和字符。

数码管通常由两个部分组成,一个是控制电路,另一个是显示单元。

控制电路负责接收输入信号并将其转换为适当的电压和电流,以控制每个段的亮灭状态。

显示单元则是由多个发光二极管组成,每个发光二极管都代表一个数字或字符。

当需要显示一个数字或字符时,控制电路会根据输入信号的不同,控制相应的发光二极管亮起或熄灭。

例如,要显示数字1,控制电路会使b和c段发光二极管亮起,其他段则熄灭。

通过控制不同的发光二极管亮灭状态,可以显示任意数字和字符。

数码管的控制电路通常采用多路复用技术。

多路复用是一种通过时间分割的方式,在有限的时间内依次控制多个发光二极管亮灭。

具体来说,控制电路会快速地在不同的发光二极管之间切换,每个发光二极管只亮一小段时间,然后迅速切换到下一个发光二极管。

由于人眼对光的暂留效应,我们看到的是所有发光二极管都在同时亮起,而不是一个一个地闪烁。

除了数字和字符的显示,数码管还可以显示一些简单的图形和特殊符号。

例如,通过控制不同组合的发光二极管亮灭状态,可以显示一些基本的几何图形,如圆、矩形和三角形。

此外,还可以显示一些常见的符号,如加号、减号和等号等。

总结一下,数码管是一种用于显示数字和字符的电子装置,它通过控制发光二极管的亮灭状态来显示不同的内容。

数码管的工作原理是通过多路复用技术,快速地在不同的发光二极管之间切换,利用人眼的暂留效应实现同时显示多个发光二极管的效果。

数码管在各种电子设备中广泛应用,如计算器、时钟、电子秤等。

它简单而有效的工作原理使其成为一种常见的显示装置。

数码管显示实验报告

数码管显示实验报告

实验三数码管显示实验一、实验目的1、了解数码管的显示原理;2、掌握数码管显示的编程方法。

二、实验内容1、编写数码管显示程序,循环显示0-F字符三、实验设备1、硬件:JX44B0实验板;PC机;JTAG仿真器;2、软件:PC机操作系统(WINDOWS 2000); ARM Developer Suite v1.2;Multi-ICE V2.2.5(Build1319);四、基础知识1、掌握在ADS集成开发环境中编写和调试程序的基本过程。

2、了解ARM应用程序的框架结构;ARM 应用程序的框架结构;3、了解数码管的显示原理;五、实验说明1、LED显示原理发光二极管数码显示器简称LED显示器。

LED显示器具有耗电低、成本低、配置简单灵活、安装方便、耐震动、寿命长等优点,目前广泛应用于各类电子设备之中。

7段LED由7个发光二极管按“日”字排列。

所有发光二极管的阳极连接在一起称共阳极接法,阴极连接在一起称为共阴极接法。

一般共阴极可以不需要外接电阻。

其中各二极管的排列如上图在共阳极接法中,如果显示数字“5”,需要在a、c、d、f、g端加上高电压,其它加低电压。

这样如果按照h、g、f、e、d、c、b、a的顺序排列的话对应的码段是:6DH。

其它的字符同理可以得到。

2、数码管显示驱动、数码管显示驱动数码管的显示一般有动态显示和静态显示两大类,另外按照驱动方式又分串行驱动和并行驱动两种方式。

行驱动两种方式。

串行驱动主要是提供串-并转换,串行驱动主要是提供串-并转换,串行驱动主要是提供串-并转换,减少控制线数量;减少控制线数量;减少控制线数量;并行驱动对每一个段并行驱动对每一个段提供单独的驱动,电路相对简单。

这方面参看数字电路相关内容。

提供单独的驱动,电路相对简单。

这方面参看数字电路相关内容。

下面主要介绍静态显示和动态显示: 1)静态显示:)静态显示: LED 数码管采用静态接口时,共阴极或共阳极节点连接在一起地或者接高电平。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验报告(十)
实验10 数码管显示电路原理图绘制(一)复习:
原理图编辑的操作界面设置
图纸设置:图纸尺寸、图纸方向、图纸颜色
栅格(Grids)设置
一.实验目的
1.了解元器件查找及放置
2.了解导线放置模式
3.熟悉元件属性编辑及放置
二.实验设备
计算机;altiumdesigner软件。

三.实验内容
1.调用原理图模板,准备绘制数码管显示电路。

2.数码管显示电路如下图
3.数码管的元器件属性见下表
实验报告(十)
4.添加libraris集成库。

5.搜索元器件并放置元器件。

6.用总线连接数码管与排阻及74LS49。

7.保存电路。

8.检查电路。

四.实验步骤
1.原理图图纸模板文件的调用
调用B5理图图纸模板。

(1)在主菜单中执行File→New→Schematic命令,新建一个空白原理图文件。

注意:在调用新的原理图图纸模板之前,首先要删除旧的原理图图纸模板。

(2)在主菜单中执行Design→General Template→Choose Another File命令,弹出“打开文件”对话框,选择上面创建的原理图图纸模板文件B5_Template.SchDot,单击“打开”按钮,弹出Update Template对话框,如图所示。

图1 Update Template对话框
该对话框中的Choose Document Scope有三个选项,用来设置操作对象的范围,其中: Just this document表示仅仅对当前原理图文件进行操作,即衣橱当前原理图文件模板,调用新的原理图图纸模板。

All schematic documents in the current project表示将对当前原理图文件所在工程中的所有原理图文件进行操作,即移除当前原理图文件所在工程中所有的原理图文件模板,调用新的原理图图纸模板。

All open schematic documents 表示将对当前所有已经打开的原理图文件进行操作,即衣橱当前打开的所有原理图文件模板,调用新的原理图图纸模板。

该对话框中的Choose Parameter Actions 有三个选项,用于设置对参数的操作,其中: Do not update any parameters表示不更新任何参数。

Add new all parameters that exist in the template only表示将原理图图纸模板中新定义的参数添加到调用原理图图纸模板的文件中。

Replace all matching parameters表示用原理图图纸模板中的参数替换当前的文件对应参数。

(3)在Update Template对话框中选中Just this document和Add new all parameters that exist in the template only单选按钮,单击OK按钮,弹出如图所示的Information对话框,要求用户确认在一个原理图文档中调用新的原理图模板。

图2 Information对话框
(4)单击Information对话框中的OK按钮,即调用了原理图图纸模板,如图下所示。

图3 调用的原理图图纸模板
(5)调用的原理图图纸模板与前面建立的标题栏的格式完全相同,知识标题栏里的参数于要用户根据实际的原理图进行设置;注意日期这一栏的内容是计算机内的系统日期。

2.加载元器件库
将官方网上下载的元器件库libraries文件夹复制到安装目录的libraries的文件夹下。

3.搜索元器件
首先来查找型号为74LS49元件。

(1)单击Libraries标签,在Libraries面板中按下Search按钮,或选择Tools →Find Component,将打开Libraries Search对话。

(3)对上例必须确认Scope设置中,Search in选择为Components(对于库搜索存在不同的情况,使用不同的选项)。

必须确保Scope设置中,选择Libraries on Path 单选按钮,并且Path包含了正确的连接到库的路径。

如果用户接受安装过程中的默认目录,路径中会显示
实验报告(十)
C:\Documents and Settings\All Users\Documents\Altium\AD13\Library\可以通过单击文件浏览按钮来改变库文件夹的路径。

还需要确保已经选中Include Subdirectories复选框。

(4)查找所有与74LS49有关的元件,所以在Filters的Field列的第1行选Name,Operator列选Contains,Value列输入74LS49,如下图所示。

(5)单击Search按钮开始查找。

搜索启动后,搜索结果如下图所示。

实验报告(十)
(6)鼠标左击Place SN74LS49D按钮,弹出Confirm对话框如下图,确认是否安装元件SN74LS49D所在的库文件TI Interface Display Driver.IntLib,按
Yes按钮,即安装该库文件。

(7)用以上方法查找“MAX1487E”元件。

4.放置元件
按照前面介绍的方法放置元件。

下表给出了该电路中每个元件样本、元件标号、元件名称(型号规格)、所在元器件库等数据。

注意在放置元件的时候,一定要注意该元件的封装要与实物相符。

5.放置好的元器件数码管显示电路如下
实验报告(十)
6.修改元器件属性并统一编号
选择tool annotate schematic…则弹出下面的对话框。

按照要求设置并编号。

五.实验总结
通过练习熟练的调用了原理图的设计模板,快速加载了原理图元件库,并能根据实际的要求搜索元器件,能快速放置并编辑元器件属性达到设计要求。

实验作业:
写出实验报告。

相关文档
最新文档