数电实验报告

合集下载

数电实验报告1

数电实验报告1

实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。

2、熟悉数字电路学习机及示波器使用方法。

二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片74LS04 六反相器1片三、预习要求1、复习门电路工作原理相应逻辑表达示。

2、熟悉所有集成电路的引线位置及各引线用途。

3、了解双踪示波器使用方法。

四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。

线接好后经实验指导教师检查无误方可通电。

试验中改动接线须先断开电源,接好线后在通电实验。

1、测试门电路逻辑功能。

(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。

(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。

(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。

(2)将电平开关按表1.2置位,将结果填入表中。

表 1.23、逻辑电路的逻辑关系(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。

将输入输出逻辑关系分别填入表1.3﹑表1.4。

(2)写出上面两个电路的逻辑表达式。

表1.3 Y=A ⊕B表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tpd=0.2μs/6=1/30μs 5、利用与非门控制输出。

选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用:一端接高有效的脉冲信号,另一端接控制信号。

数电实践实验报告(2篇)

数电实践实验报告(2篇)

第1篇一、实验目的1. 掌握数字电路的基本概念和基本分析方法;2. 熟悉数字电路实验的基本操作和实验方法;3. 培养实验技能和团队协作能力;4. 通过实验加深对数字电路理论知识的理解。

二、实验原理数字电路是一种利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验主要验证数字电路的基本逻辑功能,包括与门、或门、非门、异或门等。

三、实验仪器与设备1. 实验箱:包括电源、信号发生器、示波器、数字逻辑实验板等;2. 实验元器件:包括74LS00、74LS02、74LS04、74LS08等数字集成电路芯片;3. 实验工具:包括万用表、螺丝刀、连接线等。

四、实验内容1. 验证与门、或门、非门、异或门的逻辑功能;2. 设计一个简单的组合逻辑电路,实现逻辑运算;3. 设计一个简单的时序逻辑电路,实现计数功能。

五、实验步骤1. 验证与门、或门、非门、异或门的逻辑功能(1)将74LS00、74LS02、74LS04、74LS08等数字集成电路芯片插入实验板,连接好电源;(2)按照实验指导书的要求,将输入端和输出端连接好;(3)使用示波器观察输出信号,验证逻辑功能。

2. 设计一个简单的组合逻辑电路,实现逻辑运算(1)设计一个简单的逻辑运算电路,如全加器;(2)根据设计要求,选择合适的数字集成电路芯片;(3)将芯片插入实验板,连接好输入端和输出端;(4)使用示波器观察输出信号,验证逻辑功能。

3. 设计一个简单的时序逻辑电路,实现计数功能(1)设计一个简单的计数器电路,如4位二进制计数器;(2)根据设计要求,选择合适的数字集成电路芯片;(3)将芯片插入实验板,连接好输入端和输出端;(4)使用示波器观察输出信号,验证计数功能。

六、实验结果与分析1. 验证与门、或门、非门、异或门的逻辑功能实验结果:通过观察示波器输出信号,验证了与门、或门、非门、异或门的逻辑功能。

2. 设计一个简单的组合逻辑电路,实现逻辑运算实验结果:通过观察示波器输出信号,验证了全加器的逻辑功能。

北邮数电实验报告

北邮数电实验报告

北邮数电实验报告北邮数电实验报告一、引言数电实验是电子信息类专业学生必修的一门实验课程,通过实践操作,帮助学生巩固理论知识,培养实际动手能力。

本次实验旨在通过设计和搭建一个简单的数字电路,来理解数字电路的基本原理和工作方式。

二、实验目的本次实验的目的是设计一个4位二进制加法器,实现两个4位二进制数的相加运算。

通过实验,我们可以加深对于数字电路的理解,掌握数字电路的设计和搭建方法。

三、实验原理1. 二进制加法器二进制加法器是一种用于计算二进制数相加的数字电路。

它由若干个逻辑门和触发器组成,可以实现二进制数的加法运算。

在本次实验中,我们将设计一个4位二进制加法器,即可以计算两个4位二进制数的相加结果。

2. 逻辑门逻辑门是数字电路中常用的基本元件,用于实现逻辑运算。

常见的逻辑门有与门、或门、非门、异或门等。

在本次实验中,我们将使用与门和异或门来构建4位二进制加法器。

四、实验步骤1. 设计4位二进制加法器的电路图根据实验要求,我们需要设计一个能够计算两个4位二进制数相加的电路。

首先,我们可以将两个4位二进制数分别用D0~D3和E0~E3表示,其中D0和E0分别为最低位。

然后,我们需要使用与门和异或门来实现加法器的功能。

通过逻辑运算,我们可以得到每一位的和以及进位。

最后,将每一位的和连接起来,即可得到最终的结果。

2. 搭建电路根据电路图,我们可以开始搭建实验电路。

首先,将所需的逻辑门和触发器连接起来,形成一个完整的电路。

然后,将所需的输入信号和电源连接到电路上。

最后,使用示波器等工具检查电路的工作状态,确保电路正常运行。

3. 进行实验测试在搭建好电路后,我们可以进行实验测试。

首先,将两个4位二进制数的输入信号连接到电路上。

然后,通过观察输出信号,判断电路是否正确计算了两个二进制数的相加结果。

如果输出信号与预期结果一致,说明电路设计和搭建成功。

五、实验结果与分析在进行实验测试后,我们可以得到实验结果。

通过观察输出信号,我们可以判断电路是否正确计算了两个二进制数的相加结果。

【实验】数电抢答器实验报告

【实验】数电抢答器实验报告

【关键字】实验数电抢答器实验报告篇一:八人抢答器数电实验报告中国矿业大学信电学院实验报告课程名称成绩实验名称班级姓名学号同组人实验台号实验日期教师签字实验原始记录专业、班级姓名同组人课程名称实验名称实验记录:实验日期任课教师八人抢答器电路的设计与实现一、实验目的培养综合运用数字电子技术知识进行简易数字电子系统设计,及利用EWB软件进行仿真的能力。

培养我们同学对于各种电路的设计能力,提高同学们的兴趣。

2、实验要求设计一个功能完整、实用的简易八人抢答器,并在计算机上完成电路仿真。

三、八人抢答器设计任务与要求1、可容纳8组参赛的数字式抢答器。

2、电路具有第一抢答信号的鉴别与保持功能。

3、抢答优先者声光提示。

4、回答计时与计分。

四、实验原理框图该抢答器结构组成如下图所示。

分析电路:(1)抢答器部分:该部分的原理:主持人控制开关space清零开关,该开关控制着D触发器的异步置零端,主持人开关接地的时候,触发器清零,所有灯都熄灭。

然后抢答开始,选手可以使用自己的开关,假如是A选手抢答,A就应该领先于其他选手将开关接地,然后相当于或非门的状态是由0到1,产生上升沿脉冲,触发器的输出Q状态和D相同,由于D始终接的都是高电平,所以A开关接地的时候,A对应的指示灯亮。

而通过A选手控制的D触发器输出端Q状态为1,则其他或非门输出始终是0,其他人抢答将无效。

所有选手的开关接在一个8输入端与门的输入端上,使得有一个选手将开关接地的时候,与门的输出就会是0.分析电路:(2)555定时器提供秒脉冲部分:该部分的原理:由上部分的与门输出端给555提供低电平0,555定时器提供CP脉冲,它的各个引脚功能如下:1脚:外接电源负端VSS或接地,一般情况下接地。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC 的范围为3 ~ 18V。

一般用5V。

3脚:输出端Vo2脚:低触发端6脚:TH高触发端4脚:是直接清零端。

数电实验报告(含实验内容)

数电实验报告(含实验内容)

数电实验报告(含实验内容)班级:专业:姓名:学号:实验一用与非门构成逻辑电路一、实验目的1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能二、实验设备及器材KHD-2 实验台集成 4 输入2 与非门74LS20集成 2 输入4 与非门74LS00 或CC4011三、实验原理本实验用的逻辑图如图 2-1 所示图1-1图1-1四、实验内容及步骤1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。

2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。

3、用与非门实现以下逻辑函数式,测试其逻辑功能,将结果填入表1-3中。

Y(A,B,C)=A’B+B’C+AC班级:专业:姓名:学号:五、实验预习要求1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。

六、实验报告1、将实验数据整理后填入相关的表格中2、分别说明各逻辑电路图所实现的逻辑功能A B C Z A B C Y表1-1 表1-2A B C Y 表1-3班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、进一步熟悉常用集成门电路的逻辑功能及使用二、实验设备及器材KHD-2 实验台4 输入2 与非门74LS202 输入4 与非门74LS00 或CC4011三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。

设计组合电路的一般步骤如图2-1 所示。

图 2-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。

然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。

并按实际选用逻辑门的类型修改逻辑表达式。

根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。

数电实验报告实验

数电实验报告实验

数电实验报告实验一、实验目的1、熟悉数字电路实验箱的使用方法,掌握基本数字逻辑电路的功能测试。

2、深入理解组合逻辑电路和时序逻辑电路的工作原理,能够设计和实现简单的数字逻辑电路。

3、通过实验,提高对数字电路的分析和解决问题的能力,培养实际动手操作和调试电路的技能。

二、实验设备与器材1、数字电路实验箱2、 74LS00(四 2 输入与非门)、74LS04(六反相器)、74LS08(四 2 输入与门)、74LS10(三 3 输入与非门)、74LS20(双 4 输入与非门)、74LS86(四 2 输入异或门)等集成电路芯片。

3、导线若干三、实验原理1、组合逻辑电路组合逻辑电路的输出仅取决于当前输入信号的组合,与电路之前的状态无关。

常见的组合逻辑电路有加法器、编码器、译码器等。

2、时序逻辑电路时序逻辑电路的输出不仅取决于当前输入信号,还与电路之前的状态有关。

常见的时序逻辑电路有计数器、寄存器、移位寄存器等。

四、实验内容及步骤(一)基本逻辑门电路的功能测试1、选择 74LS00 芯片,将其插入实验箱的集成电路插座中。

2、按照芯片引脚图,用导线将芯片的输入引脚连接到实验箱的逻辑电平开关上,将输出引脚连接到逻辑电平指示灯上。

3、分别设置输入引脚的电平状态(高电平“1”或低电平“0”),观察输出引脚的电平状态,并记录在实验表格中。

4、依次对 74LS04、74LS08、74LS10、74LS20、74LS86 等芯片进行功能测试,记录测试结果。

(二)组合逻辑电路的设计与实现1、用与非门设计一个实现两个一位二进制数相加的半加器电路。

根据半加器的逻辑表达式:S = A⊕B,C = AB,画出逻辑电路图。

选择合适的芯片,按照电路图在实验箱上连接电路。

分别输入不同的二进制数,观察并记录输出结果。

2、用与门、或门和非门设计一个实现三个一位二进制数多数表决的电路。

分析多数表决的逻辑关系,得出逻辑表达式:F = AB + AC +BC。

数电实验报告

数电实验报告

一、实验目的1. 理解数字电路的基本组成和工作原理。

2. 掌握常用数字电路的设计方法和应用。

3. 熟悉数字电路实验设备和工具的使用。

4. 培养实际操作能力和创新思维。

二、实验原理数字电路是利用数字信号进行信息处理和传输的电路。

它主要由逻辑门、触发器、计数器、译码器等基本单元组成。

本实验主要涉及以下几种数字电路:1. 逻辑门:实现基本的逻辑运算,如与、或、非、异或等。

2. 触发器:存储一位二进制信息,是实现时序逻辑的基础。

3. 计数器:对输入脉冲进行计数,广泛应用于计时、分频等领域。

4. 译码器:将二进制代码转换为其他形式的信号。

三、实验内容1. 逻辑门电路实验:验证基本逻辑门的功能,包括与门、或门、非门、异或门等。

2. 触发器电路实验:验证D触发器、JK触发器、SR触发器等的功能。

3. 计数器电路实验:设计并验证二进制计数器、十进制计数器、可逆计数器等。

4. 译码器电路实验:设计并验证二进制译码器、七段显示译码器等。

四、实验步骤1. 逻辑门电路实验:- 将基本逻辑门电路连接到实验板上。

- 输入不同的逻辑信号,观察输出结果。

- 验证基本逻辑门的功能。

2. 触发器电路实验:- 将D触发器、JK触发器、SR触发器等电路连接到实验板上。

- 输入不同的时钟信号和输入信号,观察输出结果。

- 验证触发器的功能。

3. 计数器电路实验:- 设计并搭建二进制计数器、十进制计数器、可逆计数器等电路。

- 输入不同的时钟信号,观察计数器的输出结果。

- 验证计数器的功能。

4. 译码器电路实验:- 设计并搭建二进制译码器、七段显示译码器等电路。

- 输入不同的二进制代码,观察译码器的输出结果。

- 验证译码器的功能。

五、实验结果与分析1. 逻辑门电路实验:通过实验验证了基本逻辑门的功能,如与门、或门、非门、异或门等。

2. 触发器电路实验:通过实验验证了D触发器、JK触发器、SR触发器等的功能,掌握了触发器的基本工作原理。

3. 计数器电路实验:通过实验设计并验证了二进制计数器、十进制计数器、可逆计数器等,掌握了计数器的设计方法和应用。

北邮 数电实验报告

北邮 数电实验报告

北邮数电实验报告北邮数电实验报告数电实验是电子信息科学与技术专业的一门重要课程,通过实验可以帮助学生巩固理论知识,培养实践能力。

本次实验我们进行了基于数字逻辑电路的设计与实现,探索了数字电路的原理和应用。

以下是对本次实验的总结和分析。

1. 实验目的本次实验的主要目的是学习数字逻辑电路的设计与实现,了解数字电路的基本原理和应用。

通过实验,我们可以熟悉数字电路的搭建过程,掌握数字电路的设计方法和测试技巧。

2. 实验原理本次实验主要涉及到的数字逻辑电路有与门、或门、非门、异或门等。

这些逻辑门可以通过逻辑运算实现各种功能,如加法器、减法器、比较器等。

我们需要根据实验要求,设计并搭建相应的数字电路,然后通过示波器等仪器进行测试,验证电路的正确性。

3. 实验步骤首先,我们根据实验要求,设计了一个4位全加器电路。

通过逻辑门的组合,实现了4位二进制数的加法运算。

然后,我们搭建了一个4位比较器电路,用于比较两个4位二进制数的大小关系。

最后,我们设计了一个4位减法器电路,实现了4位二进制数的减法运算。

4. 实验结果通过实验,我们成功搭建了4位全加器、4位比较器和4位减法器电路,并进行了测试。

实验结果表明,我们设计的电路能够正确地完成加法、比较和减法运算,符合预期的逻辑规则。

5. 实验总结通过本次实验,我们深入了解了数字逻辑电路的设计与实现过程。

我们学会了使用逻辑门进行电路设计,并通过实验验证了电路的正确性。

实验过程中,我们也遇到了一些问题,如电路连接错误、示波器读数不准确等。

但通过仔细分析和调试,我们最终解决了这些问题,并取得了满意的实验结果。

6. 实验感想数电实验是一门非常重要的实践课程,通过实验我们不仅巩固了理论知识,还培养了实践能力和解决问题的能力。

在实验过程中,我们需要细心观察、仔细分析,并灵活运用所学的知识。

实验不仅考验了我们的动手能力,还锻炼了我们的思维能力和团队合作精神。

7. 实验的意义数电实验的意义在于将理论知识与实际应用相结合,帮助我们更好地理解和掌握数字电路的原理和应用。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑与数字电路实验报告

实验名称 简易迷宫游戏 一、 设计课题的任务要求 题目:简易迷宫游戏 设计并实现一个简易迷宫游戏机。

【基本要求】: 1、用 8×8 点阵进行游戏显示。 2、迷宫游戏如图 1 所示,采用双色点阵显示,其中红色LED 为迷宫墙壁,绿色LED表示人物。通过BTN0~BTN3 四个按键控制迷宫中的人物进行上下左右移动,使人物从起始点出发,走到迷宫的出口,游戏结束。 3、普通计时模式:通过按键BTN7 启动游戏,必须在30 秒内找到出口,否则游戏失败。用两个数码管进行倒计时显示。游戏胜利或者失败均要在8×8 点阵上有相应的画面出现。 4、迷宫中的人物在行走过程中,如果碰到墙壁,保持原地不动。 【提高要求】: 1、多种迷宫地图可以选择。 2、在计时的基础上增加计步的功能,每按一次控制按键步数加1,碰壁不计算步数,计步结果用数码管显示。 3、为游戏增加提示音乐,在不同时间段采用不同频率的信号控制蜂鸣器发声报警。 4、增加其他游戏模式。 5、自拟其它功能。

二、 系统设计(包括设计思路、总体框图、分块设计) 设计思路: 依据题目要求,在实验中需要使用到8*8双色点阵输出迷宫图案,使用数码管输出计步步数和倒计时时间,使用蜂鸣器发出警报。由于实验要求需要使用到大量的按键输入。所以需要在输入输出模块中需要按键消抖模块。实验的输出模块共有点阵输出模块,数码管输出模块,蜂鸣器输出模块,在数码管和点阵输出中需要使用到扫描输出的概念。在游戏进行中需要实时判断并且记录人的位置,需要进行记时,计步,所以在整个系统中需要使用状态机进行当前状态转换,控制整个程序。所以在核心实现模块中包括行走模块,状态输出模块,计步模块,计时模块。 输入部分:消抖模块 时钟部分:多级分频器 控制部分:倒计时器,计步器,行走模块,状态机 输出模块:点阵输出模块,数码管输出模块,蜂鸣器输出模块 总体框图:

总体框图 系统详细框图 实际电路连接图

状态转移图 系统流程图

分块设计: 1. 分频器 实验使用的实验板能产生50MHz的时钟信号,利用分频器产生各种频率的时钟,作为各个模块的时钟输入。本实验需要的频率较多,为节约资源,分频器采用多级分频的原理,产生250MHz作为数码管扫描和点阵扫描信号,产生1000Hz作为按键消抖以及状态转移的时钟,产生1Hz作为计时器的时钟信号。 2. 按键消抖 机械按键会产生机械抖动,这种抖动会造成按键信号的重复输入,所以我们要消除这种机械抖动。按键消抖将高电平持续时间少于8us的按键信号,作为抖动信号舍弃,只有按键信号的高电平持续时间长于8us的信号作为真正的按键输入,去除按键抖动。 3. 计时器 本计时器需要实现两个功能,一是游戏开始前的5秒倒计时,以及游戏过程中的30秒倒计时。为了方便在数码管以及点阵显示,输出信号不再是一个整数,而是分为个位、十位分别输出,这就要求在计时器内部为两个级联的计数器,为别对个位、十位分别计数。第一级计数得到个位数,第二级计数得到十位数。 4. 状态机 为了方便各个模块在不同的游戏阶段有不同的动作,我将整个游戏分为9个状态,由各种控制信号输入的控制下进行状态转移。总体支配整个游戏进程。状态机实现使用了三进程描述,结构更加清楚。 5. 行走模块 行走模块是整个游戏的核心模块。上、下、左、右的控制信号由按键输入经过消抖和转换之后进入行走模块,行走模块按照当前的输入,判断即将走到的地方是否为终点,到达终点时则发出―win‖信号,若不是终点则判断是否为迷宫的墙,如果是墙,发出0.1s的撞墙信号,如果不是墙则发出修改坐标,并且发出行走信号。将下一状态的坐标输出到点阵使得点阵能正确输出人的位置。 6. 计步器 根据行走模块输出的行走信号进行计数,也需要在进行级联计数器,分别得到个位数与十位数。第一级计数得到个位数,第二级计数得到十位数。 7. 数码管输出 数码管为共阴极扫描输出,并且分别各使用2位显示计步步数,以及倒计时时间。 8. 点阵输出 根据不同的状态输出不同的点阵图案。点阵输出时为节省有限的管脚资源,使用了扫描输出,逐行扫描,依据扫描计数输出当前的行选信号,红色点阵,绿色点阵。 9. 蜂鸣器 根据行走模块输出的撞墙信号输出频率为1000Hz的声音。

三、 仿真波形及波形分析

1. 多级分频器仿真波形:

从上面3张图可以看出clk-out1是clk的5分频,clk-out2是clk-out1的5分频,clk-out3是clk-out2的5分频,实现了多级分频器的功能 2. 按键消抖模块仿真: 从图中可以看出在按键按下时间持续不到5个周期时,则认为是按键抖动,在按下时间超过5个周期时,则认为是一次有效的按键输入,实现了消抖功能

3. 模6计数器仿真

4. 模8计数器仿真 5. 方向转换电路仿真: 原地不动即up、 down、 lefting、 righting没有输入对应dir―000‖,向上走对应―001‖,向下走对应―010‖,向左走对应―011‖,向右走对应―100‖ 6. 点阵输出模块仿真 当输入状态为―0000‖,点阵输出选择地图的画面。 当输入状态为―0001‖时,点阵输出地图1的画面。 当输入状态为‖0010―时,点阵输出地图2的画面。 当输入状态是‖0011‖时,根据时间输入,点阵分别输出1、2、3、4、5 当输入状态是‖0100‖时,根据时间输入,点阵分别输出1、2、3、4、5

当输入状态是‖0101―,点阵输出红色与绿色双色图案,显示地图1及当前小人位置。 当输入状态是‖0110―,点阵输出红色与绿色双色图案,显示地图1及当前小人位置。 当输入状态为‖0111―,点阵输出赢的图案。 当输入状态为‖1000―时,点阵输出输的图案。 7. 数码管输出模块仿真

数码管在1,2位输出当前计时器的输出,在第4,5位输出当前计步器的输出。 8. 蜂鸣器输出模块仿真

当输入状态为‖0101―或‖0110―时,在使能信号有效时,蜂鸣器输出1000Hz的声音 9. 计步模块仿真 当行走信号有效时,个位与十位分别计数。 10. 计时模块仿真

当输入状态为‖0011―时,进行5s倒计时,倒计时结束以后,给出ready信号,状态机从状态‖0011―转移到‖0101―,计数器进行30s倒计时,在初始化计数值为30之后,ready信号置无效,30s倒计时结束后给出fail信号。 当输入状态为‖0100―时,进行5s倒计时,倒计时结束以后,给出ready信号,状态机从状态‖0100―转移到‖0110―,计数器进行30s倒计时,在初始化计数值为30之后,ready信号置无效,30s倒计时结束后给出fail信号。

11. 状态机仿真 状态机控制状态转移,状态0为起始状态,每个状态都可以复位到状态0,状态0时输入maze1,转到状态1,输入maze2转入状态2,在状态1输入maze2转入状态2,输入start转入状态3,当处于状态2时,输入maze1转入状态1,输入start转入状态4,在状态3,4时,输入ready信号时分别进入状态5,6,在状态5,6输入win信号转入状态7,输入fail信号转入状态8。

12. 行走模块仿真

在输入状态5,6时,为行走状态,在行走过程中,正常行走产生行走信号便于计数,撞墙则产生较长时间的撞墙信号,便于蜂鸣器发出声音,到达终点产生win信号,x1,y1为当前位置坐标输出。

四、 源程序(要有注释) --fenpinqi library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

entity fenpinqi is port ( clk : in std_logic; clk_out1 : out std_logic;--250kHz clk_out2 : out std_logic;--1000Hz clk_out3 : out std_logic—1Hz ); end entity; architecture a of fenpinqi is signal tmp1: integer range 0 to 99;--fenpinbi actually 200 smg&dianzhen signal tmp2: integer range 0 to 124;--fenpinbi actually 250 quiver signal tmp3: integer range 0 to 499;--fenpinbi actually 1000 timecounting signal clktmp1: std_logic; signal clktmp2: std_logic; signal clktmp3: std_logic; begin p1: process (clk) --200, the frequency out is 250kHz begin if (clk'event and clk='1') then if tmp1=99 then tmp1<=0; clktmp1<= not clktmp1; else tmp1<=tmp1+1; end if; end if; end process p1; p2: process (clktmp1) --250, the frequency out is 1kHz begin if (clktmp1'event and clktmp1='1') then if tmp2=124 then tmp2<=0; clktmp2<= not clktmp2; else tmp2<=tmp2+1; end if; end if; end process p2; p3: process (clktmp2) -- 1000, the frequency out is 1Hz begin if (clktmp2'event and clktmp2='1') then if tmp3=499 then tmp3<=0; clktmp3<= not clktmp3; else tmp3<=tmp3+1; end if; end if; end process p3; clk_out1<=clktmp1; clk_out2<=clktmp2; clk_out3<=clktmp3; end a;

相关文档
最新文档