基于CAN总线的接口电路设计_侯明

基于CAN总线的接口电路设计_侯明
基于CAN总线的接口电路设计_侯明

2008年第07期,第41卷 通 信 技 术 Vol.41,No.07,2008 总第199期Communications Technology No.199,Totally

基于CAN总线的接口电路设计

侯 明①, 杜 奕②

(①昆明理工大学 信息工程与自动化学院,云南 昆明 650031;②昆明理工大学 应用技术学院,云南 昆明 650031)

【摘要】文中介绍了CAN总线的主要性能及特点,CAN总线在实际工业应用中的总体结构,同时给出了CAN总线协议转换器的硬件设计方法和通信协议。主要研究了CAN总线接口电路设计,所设计的总线接口电路由微处理器、CAN控制器、CAN总线收发器组成,并且详细介绍了CAN控制器、CAN收发器的功能以及CAN总线接口的硬件电路和硬件条件下的软件设计,为后续CAN 总线接口电路的应用打下了基础。

【关键词】CAN总线;SJA1000;接口

【中图分类号】TP29 【文献标识码】A【文章编号】1002-0802(2008)07-0138-03 Interface Circuit Design Based on CAN Bus

HOU Ming①, DU Yi②

(①College of Information Engineering and Automation Kunming University of Science and Engineering, Kunming Yunnan 650003, China;

②College of Applied Technology, Kunming University of Science and Engineering Kunming Yunnan 650003, China)

【Abstract】In this paper, the main CAN bus performance and features are presented, including the general structure of the CAN bus used in industrial application, and the hardware design methods of the CAN bus protocol converters and communication protocols are also given. This paper discusses the main interface circuit design of CAN Bus, which consists of microprocessor, CAN controller, CAN bus transceiver, and describes in detail the CAN controller, CAN transceiver of the CAN Bus interface, including the features of the hardware and the software design under the conditions of the hardware design. All these lay a foundation for the application of follow-up CAN.

【Key words】CAN bus; SJA1000; interface

0 引言

CAN(Controller Area Network)即控制器局域网络,是一种高性能、高可靠性、易开发和低成本的现场总线,是德国Bosch公司为解决现代汽车中众多的控制与测试仪器之间的数据交换而开发的一种串行数据通信协议。它是一种多主总线,通信介质可以是双绞线、同轴电缆或光导纤维,通信速率可达1 Mb/s,距离可达10 km。CAN协议的一个最大特点是废除了传统的站地址编码,而代之以对通信数据块进行编码,使网络内的节点个数在理论上不受限制。由于CAN总线具有较强的纠错能力,支持差分收发,因而适合高干扰环境,并具有较远的传输距离。因此,CAN协议对于许多领域的分布式测控很有吸引力。

CAN总线以目前技术条件较成熟的IS0/0SI模型为基础,与别的网络相比,它的信息传递的格式为报文。报文的长度可以不同,但都是有限的。当总线空闲时任何已连接的单元都可以开始发新的报文,报文以全网广播方式散发出去。各接收站根据报文的内容而不是地址进行判决,不需在信息中加入地址。

目前汽车上的网络连接方式主要采用2条CAN,一条用于驱动系统的高速CAN,速率达到500 kb/s;另一条用于车身系统的低速CAN,速率是100 kb/s。驱动系统CAN主要连接对象是发动机控制器(ECU)、ABS控制器、安全气囊控制器、组合仪表等等,它们的基本特征相同,都是控制与汽车行驶直接相关的系统。车身系统CAN主要连接和控制的汽车

收稿日期:2008-05-19。

作者简介:侯 明(1974-),男,讲师,主要研究方向为计算机硬件控制;杜 奕(1977-),男,讲师,主要研究方向为信息融合。

138

内外部照明、灯光信号、雨刮电机等电器。因此有必要全面了解CAN总线接口和控制器。[1]

1 CAN通信控制器

CAN的通信协议主要由CAN控制器完成。CAN控制器主要由实现CAN总线协议的部分和实现与微处理器接口部分的电路组成。对于不同型号的CAN总线通信控制器,实现CAN协议部分电路的结构和功能大多相同,而与微处理器接口部分的结构和方式存在一些差异。目前生产CAN器件的知名厂商有:Intel、PHILIPS等。其CAN器件既有独立CAN控制器,也有包含CAN内核的微控制器和DSP等。[2]

这里主要以独立CAN控制器SJA1000为代表对CAN控制器的功能作一个简单介绍。SJA1000是适用于汽车和一般工业环境的独立CAN控制器。SJA1000是一种独立的CAN控制器,主要用于移动目标和一般工业环境中的区域网络控制。它是Philips公司PCA82C200控制器的替代产品,除了PCA82C200的Basic CAN操作模式以外,还增加了一种新的操作模式—PeliCAN,这种模式支持具有很多新特性的CAN2.0B协议。

2 硬件实现

CAN总线通信控制器的硬件电路结构如图1所示。主要包括主控制器、时钟保持电路、非易失性EEPROM存储器、CAN 总线接口电路和RS232接口电路。主控制器采用性价比高、结构简单、便于编程的AT89C51单片机,主要用于对CAN控制器SJA1000 及RS232串口的初始化,并通过对CAN控制器SJA1000 及RS232串口的控制操作实现现场CAN总线与管理层中央服务器PC的数据交换等通信任务。[3]

图1 CAN通信控制器的硬件电路结构

CAN总线接口电路的构成主要由CAN通信控制器SJA1000、高速光耦6N137和CAN总线驱动器82C250组成。SJA1000作为CAN总线协议转换的控制器,它内建BASIC CAN 协议,并提供对CAN2.0B协议的支持。通过对片内寄存器的读、写操作,主控制器单片机能够设置CAN总线通信模式,实现数据的发送与接收。

SJA1000在逻辑上实现了传输数据的编码和解码[4],若要与物理线路连接,还必须借助总线驱动器82C250,以增强CAN总线的差动发送和接收驱动能力。为了增强CAN 总线节点的抗干扰能力,防止线路间串扰,SJA1000 的TX0 和RXO 并不是直接与82C250 的TXD 和RXD 相连,而是通过高速光耦6N137 后再与82C250 相连;另外,CAN总线驱动器采用带隔离的DC/DC模块单独供电,实现了通信控制器与CAN总线的隔离,提高系统的可靠性。图2给出了CAN总线系统硬件电路

原理图。

图2 CAN总线系统硬件电路原理

这里采用PCA82C250作为驱动收发器[5],它是CAN控制器和物理传输线路之间的接口。它们可以用高达1 Mb/s的位速率实现在两条差动电压总线电缆上的数据传输。82C250的CANH和CANL引脚各自通过一热敏电阻与CAN总线相连,当过流时电阻发热阻值变大,保护82C250免受过流的冲击。CANH 和CANL与地之间并联两个小电容,可以起到滤除总线上的高频干扰和一定的防电磁辐射的能力。

RS232接口电路主要用于主控制器单片机与管理层中央服务器PC的双向数据传送。由于采用了标准的RS232串行通信,结构简单、成本低。CAN总线系统电路主要由四部分所构成:微控制器89C51、CAN控制器SJA1000、CAN总线收发器82C250和高速光电耦合器6N137微处理器。89C51负责SJA1000 的初始化,通过控制SJA1000实现数据的接收和发送等通信任务。[6]

SJA1000的AD0~Dl7连接到89C51的Po口,CS连接到89C51的P2.0,P2.0为0的CPU 片外存贮器地址可选中SJAIOOO,CPU 通过这些地址可对SJAlO0O执行相应的读写操作。SJAl0OO的RD、WR、ALE分别与89c51的对应引脚相连。INT接89c51的INT0,89C51也可通过中断方式访问SJA1000。

SJAIOOO和82C25O分别使用物理隔离的电源供电,是为了增强系统的抗干扰能力和可靠性。不过应该特别说明的一点是光耦部分电路所采用的两个电源vI3C和VDD必须完全隔离,否则采用光耦也就失去了意义。电源的完全隔离可采用小功率电源隔离模块或带多5 V隔离输出的开关电源模块实现。这些部分虽然增加了节点的复杂,但是却提高了节点的稳定性和安全性。

控制器的其他外围电路还有电源模块、非易失性EEPROM 存储器、时钟保持电路、LED指示灯和看门狗等。非易失性EEPROM存储器采用具有2KB容量的AT24C02,用于保存系统配置参数及各节点的最新信息,便于管理层的查询。时钟保持电路选用涓流充电时钟芯片DS1302,其内部含有实时时钟和日历,通过简单的串行接口与单片机进行通信,用于给各节点控制器校时。同时,控制器还配置了4个LED指示灯,分别用于系统上电、CAN通信、RS232通信和系统通信故障的指示,以方便系统的调试和对控制器运行状况的监测。[7]

139

1403 软件实现

CAN总线接口的软件设计主要包括三大部分:CAN 控制器SJA1000的初始化设置,报文发送和报文接收。熟悉这三部分程序的设计就能编写出利用CAN总线进行通信的一般应用程序。下面仅就前面提到的SJA1OOO的初始化子程序作一描述,以供大家在实际应用中参考。程序主流程图如图3所示,CAN 控制器的初始化是CAN 总线系统设计中极为重要的一部分,是系统正常工作的前提。CAN 控制器从上电到能正常工作,一般需要经过硬件复位和软件配置。SJA1OOO的初始化设置是在复位模式下进行的。初始化主要包括工作方式的设置、接收滤波方式的设置、接收屏蔽寄存器(AMR)和接收代码寄存器(ACR)的设置、波特率参数设置和中断允许寄存器IER的设置等。在完成SJA1000的初始化设置以后,

SIA1OOO就可以回到工作状态,进行正常的通信任务。

图3 控制器软件流程

本系统中远程采集单元的接收码寄存器为该远程采集单元编号,接收码为全部相关,即接收屏蔽码寄存器设置为00H。总线定时器O、定时器1用于总线传输速度的设定。输出控制器寄存器用于设置总线输出驱动方式。总线上所有节点的定时器O、定时器1、输出控制寄存器的设置要相同,否则,总线系统不能正常工作。

如图4给出了SJA1OOO在BasicCAN模式下的初始化程序。 CAN初始化子函数

void can_init() {

uchar i;

CON_ REG=0x01; for(i=0;i< 5;i+ +){(-nop_();} ACC_C_REG=address;ACC_M_REG=0x00; TLM0_ REG=0x53; TIM1_REG=0x2f; OUT_C_REG=0xaa; CON_REG=Oxfe;

}

图4 初始化的C代码程序

4 结语

上述介绍的是SJA1000工作在BasieCAN模式下的最基本的通信方式。实践证明,由于采用了CAN总线技术,不仅系统结构简单、适应性和可扩展性强,而且CAN总线以其分时多主、非破坏性总线仲裁和自动检错重发的技术特点有效地提高了通信的可靠性,从而使现场调试更加方便、缩短了开发周期。

当然要将CAN总线应用于通信任务比较复杂的系统中,还需详细了解有关总线错误处理、总线脱离处理、接收滤波处理、波特率参数设置和自动检测以及总线通信距离和节点数的计算等方面的内容。

参考文献

1 夏继强.现场总线工业控制网络技术[J].北京:北京航空航天大学出版社,2005.

2 饶运涛,邹继军,郑勇芸. 现场总线CAN原理与应用技术[M]. 北京:北

京航空航天大学出版社,2003.

3 陆前锋.基于SIAlOOO的CAN总线智能控制系统设计[J].自动化技术与应用,2003,(1):16-18.

4 PHILIPS PCA82C250 Data Handbook[M].PHILIPS Semiconductors Corporation,1999.

5 PHILIPS SJA1000 Data Handbook[M].PHILIPS Semiconductors Corporation,1999.

6 徐利梅,童明俶. 现场总线及其在楼宇自控系统中的应用[J]. 智能建筑与城市信息,2003,(7):52-54.

7 IDT 71 32SA/LA High-Speed Dual-Port Static SRAM Datasheet[M]. The IDT Product & Information Company,1999.

欢迎订阅《信息安全与通信保密》杂志 邮发代号:62-208

欢迎订阅《通信技术》杂志 邮发代号:62-304

以太网EMC接口电路设计与PCB设计说明

以太网EMC接口电路设计及PCB设计 我们现今使用的网络接口均为以太网接口,目前大部分处理器都支持以太网口。目前以太网按照速率主要包括10M、10/100M、1000M三种接口,10M应用已经很少,基本为10/100M所代替。目前我司产品的以太网接口类型主要采用双绞线的RJ45接口,且基本应用于工控领域,因工控领域的特殊性,所以我们对以太网的器件选型以及PCB设计相当考究。从硬件的角度看,以太网接口电路主要由MAC(Media Access Controlleroler)控制和物理层接口(Physical Layer,PHY)两大部分构成。大部分处理器内部包含了以太网MAC控制,但并不提供物理层接口,故需外接一片物理芯片以提供以太网的接入通道。面对如此复杂的接口电路,相信各位硬件工程师们都想知道该硬件电路如何在PCB上实现。 下图1以太网的典型应用。我们的PCB设计基本是按照这个框图来布局布线,下面我们就以这个框图详解以太网有关的布局布线要点。 图1 以太网典型应用 1.图2网口变压器没有集成在网口连接器里的参考电路PCB布局、布线图,下面就以图2介绍以太网电路的布局、布线需注意的要点。 图2 变压器没有集成在网口连接器的电路PCB布局、布线参考 a)RJ45和变压器之间的距离尽可能的短,晶振远离接口、PCB边缘和其他的高频设备、走线或磁性元件周围,PHY层芯片和变压器之间的距离尽可能短,但有时为了

顾全整体布局,这一点可能比较难满足,但他们之间的距离最大约10~12cm,器件布局的原则是通常按照信号流向放置,切不可绕来绕去; b)PHY层芯片的电源滤波按照要芯片要求设计,通常每个电源端都需放置一个退耦电容,他们可以为信号提供一个低阻抗通路,减小电源和地平面间的谐振,为了让电容起到去耦和旁路的作用,故要保证退耦和旁路电容由电容、走线、过孔、焊盘组成的环路面积尽量小,保证引线电感尽量小; c)网口变压器PHY层芯片侧中心抽头对地的滤波电容要尽量靠近变压器管脚,保证引线最短,分布电感最小; d)网口变压器接口侧的共模电阻和高压电容靠近中心抽头放置,走线短而粗(≥15mil); e)变压器的两边需要割地:即RJ45连接座和变压器的次级线圈用单独的隔离地,隔离区域100mil以上,且在这个隔离区域下没有电源和地层存在。这样做分割处理,就是为了达到初、次级的隔离,控制源端的干扰通过参考平面耦合到次级; f)指示灯的电源线和驱动信号线相邻走线,尽量减小环路面积。指示灯和差分线要进行必要的隔离,两者要保证足够的距离,如有空间可用GND隔开; g)用于连接GND和PGND的电阻及电容需放置地分割区域。 2.以太网的信号线是以差分对(Rx±、Tx±)的形式存在,差分线具有很强共模抑制能力,抗干扰能力强,但是如果布线不当,将会带来严重的信号完整性问题。下面我们来一一介绍差分线的处理要点: a)优先绘制Rx±、Tx±差分对,尽量保持差分对平行、等长、短距,避免过孔、交叉。由于管脚分布、过孔、以及走线空间等因素存在使得差分线长易不匹配,时序会发生偏移,还会引入共模干扰,降低信号质量。所以,相应的要对差分对不匹配的情况作出补偿,使其线长匹配,长度差通常控制在5mil以内,补偿原则是哪里出现长度差补偿哪里; b)当速度要求高时需对Rx±、Tx±差分对进行阻抗控制,通常阻抗控制在100Ω±10%; c)差分信号终端电阻(49.9Ω,有的PHY层芯片可能没有)必须靠近PHY层芯片的Rx±、Tx±管脚放置,这样能更好的消除通信电缆中的信号反射,此电阻有些接电源,有些通过电容接地,这是由PHY芯片决定的; d)差分线对上的滤波电容必须对称放置,否则差模可能转成共模,带来共模噪声,且其走线时不能有stub ,这样才能对高频噪声有良好的抑制能力。

总线的接口电路设计

PCI-Express总线的接口电路设计 王福泽 (天津工业大学) 一、 课题背景 计算机I/O技术在高性能计算发展中始终是一个关键技术。其技术特性决定 了计算机I/O的处理能力,进而决定了计算机的整体性能以及应用环境。从根本 上来说,无论现在还是将来,I/O技术都将制约着计算机技术的应用与发展,尤 其在高端计算领域。近年来随着高端计算市场的日益活跃,高性能I/O技术之争 也愈演愈烈。当计算机运算处理能力与总线数据传输速度的矛盾日益突出时,新 的总线技术便应运而生。在过去的十几年间,PCI(Peripheral component Interconnect)总线是成功的,它的平行总线执行机制现在看来依然具有很高的 先进性,但其带宽却早已露出疲态。PCI总线分有六种规格(表1所示),能提供133MBps到2131MBps的数据传输速率,而对于现有高性能产品例如万兆以太网 或者光纤通信,传统的PCI的数据传输速率早已入不敷出[4]。 表1 PCI总线六种规格 总线类型 总线形式 时钟频率 峰值带宽 每条总线上板卡插槽数 PCI32位 并行 33MHz 133MB/s 4-5 PCI32位 并行 66MHz 266MB/s 1-2 PCI-X 32位 并行 66MHz 266MB/s 4 PCI-X 32位 并行 133MHz 533MB/s 1-2 PCI-X 32位 并行 266MHz 1066MB/s 1 PCI-X 32位 并行 533MHz 2131MB/s 1 对于64位总线实现,上述所有带宽加倍 对于64位总线实现,上述所有带宽加倍仔细分析传统的PCI信号技术,可 发现并行式总线已逐渐走近其性能的极限,该种总线已经无法轻易地提升频率或 降低电压以提高数据传输率:其时钟和数据的同步传输方式受到信号偏移及PCB 布局的限制。高速串行总线的提出,成功的解决了这些问题,其代表应用就是PCI Express。PCI Express采用的串行方式,并且真正使用“电压差分传输” 即是两条信号线,以相互间的电压差作为逻辑“0”,“1”的表示,以此方式传输 可以将传输频率作极高的提升,使信号容易读取,噪声影响降低。由于是差分传输,所以每两条信号线才能单向传送1比特,即一根信号线为正、另一根信号线 为负,发送互为反相的信号,每一个“1比特”的两条信号线称为一个差分对。 按PCI Express技术规范规定,一个差分对的传输速率为2.5Gbps。实际使用中,

常见串口接口电路设计集锦

常见串口接口电路设计集锦 六种常用串口接口电路1、并口接口(分立元件) 适用于Windows 95/98/Me 操作系统。这个电路与FMS 随软件提供的电路比多了一个200K 的电阻,这个主要是为了与JR 的摇控器连接,因为JR 的摇控器教练口好象是集电极开路设计的,需要加一只上拉电阻才能正常工作。 不过电路还是满简单的,用的元件也很少,很适合无线电水平不太高的朋友们 制作,只是不能用于Win2000/XP 上有点让人遗憾。 2、串口接口(分立元件)字串5 适用于Windows 95/98/Me 操作系统,电路也不是很复杂,当然元件比并口电路多了一些,而且串口的外壳比并口小很多,如何把这些元件都放到小 小的外壳里免不了要大家好好考虑一下了。当做体积小也是它的最大的优点, 而且不用占用电脑并口,因为现在还有一些打印机还是要用并口的。缺点同样 是不支持Win2000/XP。 3、串行PIC 接口(使用PIC12C508 单片机)字串9 适用于Windows 95/98/Me/2000/XP 操作系统。电路简单,只是用到MicroChip 公司的PIC12C508 型单片机,免不了要用到编程器向芯片里写程序了,这个东西一般朋友可能没有,不过大多卖单片机的地方都有编程器,你只 要拿张软盘把需要用的HEX 文件拷去让老板帮你写就可以了。这个接口最大 的优点就是支Win2000/XP 操作系统,还可以用PPJOY 这个软件来用摇控器虚拟游戏控制器玩电脑游戏。 4、25 针串行PIC 接口(使用PIC12C508 单片机) 适用于Windows 95/98/Me/2000/XP 操作系统。电路同9 针的接口基本一样,只不过是接25 针串口的,现在用的不是很多了。

几种典型接口电路(485)

典型接口电路EMC设计 一、以太网接口EMI设计 100M网口设计时必须设计Bob smith 电路:可以产生10dB的共模EMI衰减,为了更好的抑制共模信号通过线缆对外的辐射应注意下面几点: 1 、不用的RJ45管脚4 、5、7、8按下图的方法处理。 2 、物理芯片侧的变压器中心抽头需通过0.01uF-0.1uF的电容接地。 3 、物理芯片侧的差模电阻(收端)应等分为二(100分为两个49.9),中心点通过1000pF 电容接地。 以太网口Bob smith电路原理图 以82559为例说明网口设计PCB注意点,布局如下: 以太网口布局示意图

A、B要求尽量短,A不得超过1英寸,B可以根据实际情况放宽。接口变压器PCB设计如下: 以太网口变压器布局示意图 布局要求: PCB布局示意图 布线要求: 1、变压器下面全部掏空处理,其余隔离带的宽度大于100mil; 2、连接器与隔离变压器之间距离小于1000mil; 3、晶振距离接口变压器和板边大于1000mil; 4、灯线不要走到变压器下面,并且尽量不要与差分信号线同层走线,如果同层走线,需要与差分信号线相距30mil以上; 5、差分信号线与变压器输出侧的过孔距离大于40mil。

二、以太网口的防护设计 加防护电路的设计: 增加防护器件电路原理图 以上器件选型要求: 1、变压器要选用隔离耐压3000Vac要求的。 2、气体放电管尽量选用3端气体放电管,启动电压为90V的; 3、TVS管选用SLV2.8-4; 三、485接口电路设计 对于出户外的485端口,进行如下设计,采取气体放电管加TVS管加限流电阻组合方式。选用90V陶瓷管(3R090)可承受10/700us,8KV雷击测试;64V固体管(P0640)只能承受10/700us,3KV雷击测试 。TVS的选择为P6KE6.8CA ,去耦电阻选择为10Ω/1W 。

I2C总线接口电路设计..

FPGA与I2C总线器件接口电路设计 利用FPGA模拟I2C总线协议对I2C总线接口器件AT24C256 进行读写操作。利用按键输入读写命令和相应的地址、数据,对芯片进行读写操作,读写的数据用数码管显示。 一、I2C总线接口电路设计分析 1. I2C 总线协议 I2C 总线的两根通信线,一根是串行数据线SDA,另一根是串行时钟线SCL。多个符合I2C总线标准的器件都可以通过同一条I2C总线进行通信,而不需要额外的地址译码器。每个连接到总线上的器件都有一个唯一的地址作为识别的标志,都可以发送或接收数据。I2C 总线通信速率受主机控制,标准模式下可达100kbit/s。 一般具有I2C总线的器件其SDA、SCL引脚都为集电极(或漏极)开路结构。因此实际使用时,SDA 和SCL信号线必须加3~10K的上拉电阻。总线空闲时均保持高平。I2C总线接法如图1所示。 图1 I2C总线连接示意图 (1) I2C的主机和从机,发送器和接收器 产生I2C总线时钟信号和起始、停止控制信号的器件,称为主机,被主机寻址的器件称为从机。 任何将数据传送到I2C总线的器件称为发送器,任何从I2C总线接收数据的器件称为接收器。 主机和从机都可作为发送数据器件和接收数据器件。 (2) I2C 总线上数据的有效性: 时钟线SCL为高电平时,数据线SDA的任何电平变化将被看作总线的起始或停止信号; 在数据传送过程中,当时钟线SCL为高电平时,数据线SDA必须保持稳定状态,不允许有跳变;数据线SDA的状态只能在SCL低电平期间才能改变。即进行串行传送数据时,在SCL高电平期间传送位数据,低电平期间准备数据。 (3) 从机地址 I2C总线不需要额外的片选信号或地址译码。多个I2C总线接口器件可连接到一条I2C总线上,它们之间通过地址来区分。主机是主控制器件,只有一个主机的不需要地址。其它器件均为从机,均有器件地址,但必须保证同一条I2C总线上的器件地址不能重复。一般从机地址由7位地址位和1位读写位组成,地址位为高7位,读写位为最低位。读写位为0时,表示主机将向从机写入数据;读写位为1时,表示主机将要从从机读取数据。 (4) I2C 总线的通信时序

电路设计中必须掌握的7个常用接口知识

电路设计中必须掌握的7个常用接口知识 我们知道,在电路系统的各个子模块进行数据交换时可能会存在一些问题导致信号无法正常、高质量地“流通”,例如有时电路子模块各自的工作时序有偏差(如CPU与外设)或者各自的信号类型不一致(如传感器检测光信号)等,这时我们应该考虑通过相应的接口方式来很好地处理这个问题。 下面就电路设计中7个常用的接口类型的关键点进行说明一下: (1)TTL电平接口: 这个接口类型基本是老生常谈的吧,从上大学学习模拟电路、数字电路开始,对于一般的电路设计,TTL电平接口基本就脱不了“干系”!它的速度一般限制在30MHz以内,这是由于BJT的输入端存在几个pF的输入电容的缘故(构成一个LPF),输入信号超过一定频率的话,信号就将“丢失”。它的驱动能力一般最大为几十个毫安。正常工作的信号电压一般较高,要是把它和信号电压较低的ECL电路接近时会产生比较明显的串扰问题。 (2)CMOS电平接口: 我们对它也不陌生,也是经常和它打交道了,一些关于CMOS的半导体特性在这里就不必啰嗦了。许多人都知道的是,正常情况下CMOS的功耗和抗干扰能力远优于TTL。但是!鲜为人知的是,在高转换频率时,CMOS系列实际上却比TTL消耗更多的功率,至于为什么是这样,请去问半导体物理理论吧。由于CMOS的工作电压目前已经可以很小了,有的FPGA 内核工作电压甚至接近1.5V,这样就使得电平之间的噪声容限比TTL小了很多,因此更加加重了由于电压波动而引发的信号判断错误。众所周知,CMOS电路的输入阻抗是很高的,因此,它的耦合电容容量可以很小,而不需要使用大的电解电容器了。由于CMOS电路通常驱动能力较弱,所以必须先进行TTL转换后再驱动ECL电路。此外,设计CMOS接口电路时,要注意避免容性负载过重,否则的话会使得上升时间变慢,而且驱动器件的功耗也将增加(因为容性负载并不耗费功率)。 (3)ECL电平接口: 这可是计算机系统内部的老朋友啊!因为它的速度“跑”得够快,甚至可以跑到几百MHz!这是由于ECL内部的BJT在导通时并没有处于饱和状态,这样就可以减少BJT的导通和截止时间,工作速度自然也就可以提上去了。But,这是要付出代价的!它的致命伤:功耗较大!它引发的EMI问题也就值得考虑了,抗干扰能力也就好不到哪去了,要是谁能够折中好这两点因素的话,那么他(她)就该发大财了。还有要注意的是,一般ECL集成电路是需要负电源供电的,也就是说它的输出电压为负值,这时就需要专门的电平移动电路了。 (4)RS-232电平接口: 玩电子技术的基本没有谁不知道它的了(除非他或她只是电子技术专业的“门外汉”)。它是低速串行通信接口标准,要注意的是,它的电平标准有点“反常”:高电平为-12V,而低电平为+12V。So,当我们试图通过计算机与外设进行通信时,一个电平转换芯片MAX232自然是少不了的了。但是我们得清醒地意识到它的一些缺点,例如数据传输速度还是比较慢、传输距离也较短等。 (5)差分平衡电平接口: 它是用一对接线端A和B的相对输出电压(uA-uB)来表示信号的,一般情况下,这个差分信号会在信号传输时经过一个复杂的噪声环境,导致两根线上都产生基本上相同数量的噪声,而在接收端将会把噪声的能量给抵消掉,因此它能够实现较远距离、较高速率的传输。工业上常用的RS-485接口采用的就是差分传输方式,它具有很好的抗共模干扰能力。 (6)光隔离接口: 光电耦合是以光信号为媒介来实现电信号的耦合和传递的,它的“好处”就是能够实现电气隔

用户接口电路的设计

黄冈师范学院程控交换提高型实验 2011年5 月11日

目录 中文摘要 英文摘要 一.引言 1.1用户接口电路简介 (3) 1.2课程设计的目的 (3) 1.3课程设计内容 (3) 1.4课程设计要求 (4) 二.电路工作过程 2.1用户接口电路功能 (4) 2.2PBL38710芯片功能及使用 (5) 2.3PCM编译码器TP3067 (6) 三.用户线接口电路原理 3.1用户线接口电路原理 (7) 四.总结和心得 (9) 五.参考文献 (9)

摘要:利用用户线接口电路选用的是PBL38710和TP3067芯片对用户接口电路进行设计,PBL38710是2/4线厚膜混合用户线接口电路,PCM编译码电路中的器件为美国国家半导体公司的TP3067。 summary::line circuit is pbl38710 and tp3067 chips to the user interface design, pbl38710 circuitry for two to four lines of the user interface to a thick wire circuits, and pcm compiled code in the circuit device for the united nations. the semiconductor firms tp3067。 关键词:用户接口、PBL38710、TP3067 Keywords :user interface, PBL38710, TP3067 一、引言 1.1. 用户接口电路 用户电路也可称为用户线接口电路。任何交换机都具有用户线接口电路。根据用户电话机的不同类型,用户接口电路分为模拟用户接口电路和数字用户接口电路两种。 模拟用户线接口电路在实现上的最大压力是应能承受馈电、铃流和外界干扰等高压大电流的冲击,基于实现和应用上的考虑,通常将BORSCHT功能中过压保护由外接元器件完成,编译码器部分另外单成一体,集成为编译码器(CODEC),其余功能由集成模拟SLIC完成。 用户电路的作用是实现各种用户线与交换之间的连接,通常又称为用户线接口电路(SLIC,Subscriber Line Interface Circuit)。根据交换机制式和应用环境的不同,用户电路也有多种类型,对于程控数字交换机来说,目前主要有与模拟话机连接的模拟用户线电路(ALC)及与数字话机,数据终端(或终端适配器)连接的数字用户线电路(DLC)。 1.2 课程设计目的 1、全面了解用户电路的功能及其实现方法 2、熟悉用户电路接口电路PBL3871和PCM编译码集成电路TP3067的电路组成和使用方法。 3、掌握模拟用户接口电路和PCM编译码器在程控交换机中的作用,进一步加深对用户模块七个功能BORSCHT的理解。

JTAG 接口电路设计与应用

JTAG接口电路设计与应用 Design and application of JTAG interface circuit 温国忠 (深圳职业技术学院 电子与信息工程学院,广东 深圳 518055) 摘 要:目前通讯电子产品的芯片、单板、系统的复杂度不断提高,物理尺寸却在不断缩小,JTAG电路的设计也随之成为关系到单板可测性、稳定性和可靠性的重要因素。 JTAG测试接口在集成电路工作时,可以控制管脚的状态,由于应用系统的干扰,可能使JTAG测试口出现错误操作,从而影响芯片及其管脚的工作状态,造成芯片不能正常工作,给产品的可靠运行带来隐患。因此,很有必要对JTAG接口电路设计进行探讨,找到一种更合理的JTAG接口电路设计。 关键词:JTAG;菊花链;DFT;IEEE-1149.1 中图法分类号;TN407 文献标识码:B 1引言 由于EDA技术的广泛应用,微电子技术的迅猛发展,组装工艺的不断更新,使得通讯电子产品,芯片、单板、系统的复杂度不断提高,物理尺寸却在不断缩小。随之而来的就是测试问题的复杂化,测试的成本,周期都在急剧增加。在这种情况下,只是使用探针、针床等传统测试设备已经无法进行有效的测试。而且它所带来的后果就是开发电子系统时的测试成本不断上升,测试周期加长,但仍然有不可测的情况存在。针对这种情况,电子测试的研究方向随之发展到了研究在电子系统甚至芯片设计时就考虑系统测试问题的新兴设计方法——DFT[1]。而边界扫描就是一种重要的有效的测试方法,它的广泛应用对降低测试难度,提供测试质量,提高产品故障定位能力等各方面都有重大意义。 2 JTAG测试 JTAG,它是Joint Test Action Group缩写,是IEEE的标准规范,由IEEE-1149.1标准规定的边界扫描是测试PCB板上IC之间互连的综合测试方法[2]。通过这个标准,可对具有JTAG接口的芯片的硬件电路进行边界扫描和故障检测。边界扫描测试的基本思想是:在靠近器件的每一个输入/输出(I/O)管脚处,增加一个移位寄存器单元和锁存器单元。在测试期间,这些寄存器单元用于控制输入管脚的状态,并读出输出管脚的状态。在正常工作期间,这些附加的移位寄存器单元不影响电路的工作。 2.1 JTAG测试口 符合IEEE STD 1149.1的JTAG测试口,是芯片制造商为开发者预留的在线仿真口,同时也是边界扫描测试技术的一种应用。 IEEE 1149.1标准将边界扫描测试的硬件单元分成四类:测试存取通道(TAP)、TAP控制器、指令寄存器(IR)、测试数据寄存器(TDR)。JTAG边界扫描是在TAP(测试访问端口)控制器和指令寄存器的控制作用下实现测试功能[3]。其中,TAP控制器是一个16态的状态机,根据TMS的不同输入来控制整个扫描系统中扫描寄存器的移位、捕获、更新操作,而指令寄存器则是根据TDI的不同输入来确定选中不同的测试数据寄存器进入扫描链进行测试扫描操作。其中测试存取通道TAP即是能完成边界扫描测试的五个专用引出管脚,即: (1)测试时钟输入线(TCK) (2)测试模式选择输入线(TMS)

CAN接口电路设计

CAN接口电路设计 系统各模块硬件设计完成后需考虑模块间相互通信的实现。由于整体系统采用CAN总线进行作为通信网络,基于各模块通信的稳定性和同一性考虑,统一的CAN接口电路设计成为必要。 针对不带有CAN通信接口的芯片来讲,CAN总线接口电路由CAN总线控制电路和CAN总线收发电路两部分组成。CAN总线控制器用来完成总线协议的数据链路层和物理层的功能,如对报文的装配与拆分、对接收信息进行过滤和较验等。应用层功能可由各模块内的微控制器完成。CAN收发器提供CAN控制器与物理总线之间的接口,在两条有差动电压的总线CANL和CANH上传输数据,可以提高CAN总线的可靠性和驱动能力[i]。 由于系统模块采用了STM32F103R8T6和STM32F103C6T6芯片,这两款微控制器均自带CAN通信接口,只需连接CAN总线收发电路即可接入总线。为了避免芯片内的CAN控制模块和CAN收发电路的电气不一致造成电气隔离给通信带来麻烦,模块在芯片和CAN收发电路之间添加了CAN总线隔离电路。 图3-23模块总线接口电路 如图3-23所示,各模块总线接口电路由采用ADUM1201隔离芯片设计CAN 总线隔离电路和TJA1040收发器设计CAN总线收发电路组成。 传统的CAN总线控制采用光耦合器技术,使用光束来隔离和保护检测电路以及在高压和低压电器环境之间提供一个安全接口。ADUM1201隔离芯片采用了iCoupler技术取消了光电耦合器中的光电转换,采用iCoupler变压器技术集成变压器驱动和接收电路。与传统CAN总线控制相比,采用ADUM1201隔离芯片设计的CAN总线控制电路功耗低,数据传输速率高,时序精度高个瞬态共模抑制力优,信号传输方向灵活,硬件电路简单[ii]。

CAN总线接口电路设计注意事项(精)

CAN总线接口电路设计注意事项收藏 CAN 总线是一种有效支持分布式控制和实时控制的串行通信网络,以其高性能和高可靠性在自动控制领域得到了广泛的应用。为提高系统的驱动能力,增大通信距离,实际应用中多采用Philips公司的82C250作为CAN控制器与物理总线间的接口,即CAN收发器,以增强对总线的差动发送能力和对CAN控制器的差动接收能力。为进一步增强抗干扰能力,往往在CAN 控制器与收发器之间设置光电隔离电路。典型的CAN总线接口电路原理如图1所示。 图1 典型的CAN总线接口电路原理图 1 接口电路设计中的关键问题 1.1 光电隔离电路 光电隔离电路虽然能增强系统的抗干扰能力,但也会增加CAN总线有效回路信号的传输延迟时间,导致通信速率或距离减少。 82C250等型号的CAN收发器本身具备瞬间抗干扰、降低射频干扰(RFI以及实现热防护的能力,其具有的电流限制电路还提供了对总线的进一步保护功能。因此,如果现场传输距离近、电磁干扰小,可以不采用光电隔离,以使系统达到最大的通信速率或距离,并且可以简化接口电路。如果现场环境需要光电隔离,应选用高速光电隔离器件,以减少CAN总线有效回路信号的传输延迟时间,如高速光电耦合器 6N137,传输延迟时间短,典型值仅为48 ns,已接近TTL电路传输延迟时间的水平。

1.2 电源隔离 光电隔离器件两侧所用电源Vdd与Vcc必须完全隔离,否则,光电隔离将失去应有的作用。电源的隔离可通过小功率DC/DC电源隔离模块实现,如外形尺寸为DIP-14标准脚位的5 V 双路隔离输出的小功率DC/DC模块。 1.3 上拉电阻 图1中的CAN收发器82C250的发送数据输入端TXD与光电耦合器6N137的输出端OUT相连,注意TXD必须同时接上拉电阻R3。一方面,R3保证6N137中的光敏三极管导通时输出低电平,截止时输出高电平;另一方面,这也是CAN 总线的要求。具体而言, 82C250的TXD端的状态决定着高、低电平CAN 电压输入/输出端CANH、CANL的状态(见表1。CAN总线规定,总线在空闲期间应呈隐性,即CAN 网络中节点的缺省状态是隐性,这要求82C25O的TXD端的缺省状态为逻辑1(高电平。为此,必须通过R3确保在不发送数据或出现异常情况时,TXD端的状态为逻辑1(高电平。 表1 TXD与CANH、CANL的关系表 TXD CANH电CANL电CAN总 状态平(V 平(V 线状态 1 2.5 2.5 隐性(逻辑1 0 3.5 1.5 显性(逻辑0 1.4 总线阻抗匹配 CAN总线的末端必须连接2个120Ω的电阻,它们对总线阻抗匹配有着重要的作用,不可省略。否则,将大大降低总线数据通信时的可靠性和抗干扰性,甚至有可能导致无法通信。

DSP接口电路设计

DSP应用系统中的硬件接口电路设计 作者:王立华邵玉芹韩敬东孟秀锦来源:微计算机信息点击数:1240 更新时间:2008-6-13 您可以添加到网摘让更多人关注此文章: 摘要:介绍了DSP应用系统的硬件接口电路:包括电平变换电路、仿真器JTAG接口电路、以及可扩展的硬件接口(如A/D、D/A、SRAM)等的设计方法,并给出了接口电路在设计时须注意的几个问题。 关键词:DSP 硬件接口电路电平变换 数字信号处理(Digital Signal Processing ,DSP)是一门涉及许多学科而又广泛应用于许多领域的新兴学科,自DSP芯片诞生的二十多年来,其在控制、通信等领域得到了广泛的发展。在众多的DSP器件中,以TI公司的DSP应用最为广泛,本文以TI DSP为例介绍系统接口电路的设计。一个完整地DSP控制系统的硬件接口电路一般包括电平变换电路、仿真器接口JTAG电路、以及可扩展的硬件接口(如A/D、D/A、SRAM等)电路,而这些硬件接口电路是DSP系统工作的基础。 一、电平变换接口设计: DSP系统是一个混合电压系统,存在着5V/3.3V混合供电现象:DSP芯片的I/O供电电压一般是3.3V,而外围芯片工作电压为5V,如EPROM、SRAM、A/D器件等。通常它们之间是不能直接相连的,设计中必须进行电平变换。 1、在混合电压系统中,不同电源电压的逻辑器件接口时存在的问题 (1)加到输入和输出引脚上允许的最大电压限制问题。器件对加到输入或者输出引脚上的电压通常是有限制的。这些引脚上一般有二极管或者分离元件接到电源。如果接入的电压过高,则电流将会通过二极管或者分离元件流向电源。例如I/O为3.3V供电的DSP,其输入电平不允许超过电源电压3.3V,而5V器件输出信号高电平可达4.4V,它会向3.3V电源充电,持续的电流将会损坏二极管和其它电路元件。 (2)两个电源间电流的互串问题。在等待或者掉电方式时,3.3V电源降到OV,大电流将流通到地,这使得总线上的高电压被下拉到地,这些情况将引起数据丢失和元件损坏。必须注意的是:不管在3.3V的工作状态还是在OV的等待状态都不允许电流流向电源。 (3)接口输入转换门限问题。5V器件和3.3V器件的接口有很多情况,同样TTL和CMOS间的电平转换也存在着不同情况。驱动器必须满足接收器的输入转换电平,并且要有足够的容限以保证不损坏电路元件。而输出电平一般无需变换。

用户接口电路设计

黄冈师范学院程控交换提高型实验

目录 第一章绪论 (3) 1.1 用户线接口电路(SLIC, Subscriber Line Interface Circuit) (3) 1.2 课程设计目的 (3) 1.3 课程设计内容 (3) 1.4 课程设计要求 (3) 第二章用户电路工作过程 (4) 2.1 用户电路 (4) 2.2 Am79R70芯片功能及使用 (5) 2.3 PCM编译码器TP3067 (8) 第三章用户线接口电路原理 (9) 3.1 电路工作过程 (9) 第四章学习总结 (11) 参考文献 (11)

摘要:本实验设计选用的是用户线接口芯片AM79R70和编译码芯片TP3067芯对用户接口电路进行设计,Am79R70是Legerity公司生产的一种功能较强的用户线接口芯片,PCM编译码电路中的器件为美国国家半导体公司的TP3067。 关键词:用户接口、Am79R70、TP3067 第一章绪论 1.1 用户线接口电路(SLIC, Subscriber Line Interface Circuit) 用户电路的作用是实现各种用户线与交换之间的连接,通常又称为用户线接口电路(SLIC, Subscriber Line Interface Circuit)。根据交换机制式和应用环境的不同,用户电路也有多种类型,对于程控数字交换机来说,目前主要有与模拟话机连接的模拟用户线电路(ALC)及与数字话机,数据终端(或终端适配器)连接的数字用户线电路(DLC)。模拟用户线电路是适应模拟用户环境而配置的接口 1.2 课程设计目的 1、全面了解用户电路的功能及其实现方法 2、熟悉用户电路接口电路Am79R70和PCM编译码集成电路TP3067的电路组成和使用方法。 3、掌握模拟用户接口电路和PCM编译码器在程控交换机中的作用,进一步加深对用户模块七个功能BORSCHT的理解。 1.3 课程设计内容 利用Am79R70和PCM编译码TP3067组成用户接口电路,完成用户电路的七项功能。 1.4 课程设计要求 ●熟悉用户接口电路的原理。 ●利用Am79R70和PCM编译码TP3067组成用户接口电路。 ●在老师的指导想,要求独立完成课程设计的全部内容,并按要求编写课

基本IO电路接口设计

七基本I/O接口电路设计实验 1、实验目的 (1) 掌握基本I/O接口电路的设计方法。 (2) 熟练汇编语言I/O端口操作指令的使用。 2、实验内容及说明 利用三态缓冲器74LS245、锁存器74LS374设计微机总线和外部设备的数据通道,实现微机对外部输入数据的读取和对输出数据的输出。用开关及LED显示单元的开关和数据灯作为输入和输出显示设备,将读到开关的数据显示在数据灯上。 3、实验原理 1.输入接口设计 输入接口一般用三态缓冲器实现,外部设备输入数据通过三态缓冲器,通过数据总线传送给微机系统。74LS245是一种8通道双向的三态缓冲器,其管脚结构如图所示。DIR引脚控制缓冲器数据方向,DIR为1表示数据由A[7:0]至B[7:0],DIR为0表示数据由B[7:0]至A[7:0]。G引脚为缓冲器的片选信号,低电平有效。 2.输出接口设计 输出接口一般用锁存器实现,从总线送出的数据可以暂存在锁存器中。74LS374是一种8通道上沿触发锁存器。其管脚结构如图所示。D[7:0]为输入数据线,Q[7:0]为输出数据线。CLK引脚为锁存控制信号,上升沿有效。当上升沿到时,输出数据线锁存输入数据线上的数据。OE引脚为锁存器的片选信号,低电平有效。

3.输入输出接口设计 用74LS245和74LS374可以组成一个输入输出接口电路,既实现数据的输入又实现数据的输出,输入输出可以占用同一个端口。是输入还是输出用总线读写信号来区分。总线读信号IOR和片选信号CS相“或”来控制输入接口74LS245的使能信号G。总线写信号IOW和片选信号CS相“或”来控制输出接口74LS374的锁存信号CLK。实验系统中基本输入输出单元就实现了两组这种的电路,任意A组的电路连接如图所示。 4、实验说明及步骤 本实验实现的是将开关K[7:0]的数据通过输入数据通道读入CPU的寄存器,然后再通过输出数据通道将该数据输出到数据灯显示,该程序循环运行,直到按动键盘上任意按键再退出程序。实验程序流程如图3-1-4所示。参考实验接线如图3-1-5所示。 实验步骤如下。 (1) 确认从PC机引出的两根扁平电缆已经连接在实验平台上。 (2) 参考图3-1-5所示连接实验线路。 (3) 首先运行CHECK程序,查看并记录与片选信号对应的I/O端口始地址。

相关主题
相关文档
最新文档