VCS常用命令
VCS命令

执行VCS命令需要用root用户登陆。
命令都在/opt/VRTS/bin名录下,确信该目录已经设置到root用户下的PATH环境变量里。
验证节点信息和状态(可执行于任一节点):# hastatus -sum确保所有的device和resource groups是online状态。
VCS软件信息查看:# pkginfo -l VRTSvcs# ls /opt/VRTS*# pkginfo -l | grep VERITAS# pkginfo -l | grep VRTS查看VCS配置(可执行于任一节点):# cd /etc/VRTSvcs/conf/config/# more main.cf查看VCS日志(可执行于任一节点):# tail -100f /var/VRTSvcs/log/engine_A.log关闭集群(仅执行于某一节点):# hastop -all在ok提示符下重启集群(需执行于每一节点):{#} hastart验证私网即心跳线状态(可执行于任一节点):# gabconfig -a验证公网状态(可执行于任一节点):# config -a查看私网使用信息:# lltstat -nvvumount共享磁盘:# umount -f /mountpointVCS会自动mount共享磁盘到另外一节点。
资源组从主节点切换到备节点:# hagrp oracleSG -switch to资源组冻结:# hagrp -freeze所有的设备组和资源组应该切换到另一节点了。
磁盘列表:# vxdisk list以下是几个常用链接,如模拟器下载,VCS文档查看和下载等。
Veritas CLuster Server Summary of basic cluster operations:- Cluster Start/Stop:+ stop VCS on all system s:# hastop -all+ stop VCS on hosta and m ove all groups out:# hastop -sys hosta -evacuate+ start VCS on local system:# hastart- Users:+ add gui root user:# haconf -m akerw# hauser -add root# haconf -dum p -m akero- Group:+ group start, stop:# hagrp -offline groupx -sys hostb# hagrp -online groupx -sys hostb + switch a group to other system:# hagrp -switch groupx -to hosta+ freeze a group:# hagrp -freeze groupx+ unfreeze a group:# hagrp -unfreeze groupx+ enable a group:# hagrp -enable groupx+ disable a group:# hagrp -disable groupx+ enable resources a group:# hagrp -enableresources groupx + disable resources a group:# hagrp -disableresources groupx + flush a group:# hagrp -flush groupx -sys hosta- Node:+ feeze node:# hasys -freeze hosta+ thaw node:# hasys -unfreeze hosta- Resources:+ online a resouce:# hares -online resource_name -sys hosta + offline a resouce:# hares -offline resource_name-sys hosta + offline a resouce and propagte to children:# hares -offprop resource_nam e -sys hosta + probe a resouce:# hares -probe resource_name -sys hosta + clear faulted resource:# hares -clear resource_name -sys hosta - Agents:+ list agent:# haagent -list+ list status of an agent:# haagent -display IP+ start agent:# haagent -start IP -sys hosta+ stop agent:# haagent -stop IP -sys hosta- Reboot a node with evacuation of all service groups: (groupy is running on hosta)# hastop -sys hosta -evacuate# init 6# hagrp -switch groupy -to hostaHere's a procedure to upgrade VCS or shutdown VCS during hardware maintenance.1. Open, freeze each Service Group, and close the VCS config. haconf -m akerwhagrp -freeze -persistenthaconf -dum p makero2. Shutdown VCS but keep services up.hastop -all -force3. Confirm VCS has shut down on each system.gabconfig -a4. Confirm GAB is not running on any disks.gabdisk -l (use this if upgrading from VCS 1.1.x)gabdiskhb -lgabdiskx -lIf it is, rem ove it from the disks on each system.gabdisk -d (use this if upgrading from VCS 1.1.x)gabdiskhb -dgabdiskx -d5. Shutdown GAB and confirm it's down on each system.gabconfig -Ugabconfig -a6. Identify the GAB kernel m odule number and unload itfrom each system.m odinfo | grep gabm odunload -i7. Shutdown LLT. On each system, type:lltconfig -UEnter "y" if any questions are asked.8. Identify the LLT kernel module number and unload it from each system.m odinfo | grep lltm odunload -i9. Rename VCS startup and stop scripts on each system.cd /etc/rc2.dm v S70llt s70lltm v S92gab s92gabcd /etc/rc3.dm v S99vcs s99vcscd /etc/rc0.dm v K10vcs k10vcs10. Make a backup copy of /etc/VRTSvcs/conf/config/m ain.cf.Make a backup copy of /etc/VRTSvcs/conf/config/types.cf.Starting with VCS 1.3.0, preonline and other trigger scripts mustbe in /opt/VRTSvcs/bin/triggers. Also, all preonline scripts inprevious versions (such as VCS 1.1.2) must now be com bined in onepreonline script.11. Remove old VCS packages.pkgrm VRTScsga VRTSvcs VRTSgab VRTSllt VRTSperl VRTSvcswzIf you are upgrading from 1.0.1 or 1.0.2, you must also rem ove the package VRTSsnm p, and any packages containing a .2 extension, such as VRTScsga.2, VRTSvcs.2, etc.Also rem ove any agent packages such as VRTSvcsix (Informix),VRTSvcsnb (NetBackup), VRTSvcssor (Oracle), and VRTSvcssy (Sybase).Install new VCS packages.Restore your m ain.cf and types.cf files.12. Start LLT, GAB and VCS.cd /etc/rc2.dm v s70llt S70lltm v s92gab S92gabcd /etc/rc3.dm v s99vcs S99vcscd /etc/rc0.dm v k10vcs K10vcs/etc/rc2.d/S70llt start/etc/rc2.d/S92gab/etc/rc3.d/S99vcs start13. Check on status of VCS.hastatushastatus -sum14. Unfreeze all Service Groups.haconf -m akerwhagrp -unfreeze -persistenthaconf -dum p -m akero。
VCS日常命令

ClusterService State msgsvr |OFFLINE|
iggrp State bsteeldb |OFFLINE|
iggrp State msgsvr |ONLINE|
注:上述<group>和<system>的信息,都可以从hagrp -state的结果中获得。<group>对应的是Group列的值,<system>对应的是System列的值;
1.1.5 资源管理
资源状态监控
#hares -state
该命令会列出当前系统中资源的运行状态
查看资源信息
#hagrp -freeze <group>
#hagrp -unfreeze <group>
消除某一个资源组的失败状态:资源组在某一主机上的状态为Fault,则该资源组就无法在该主机上正常启动。需要以下命令进行处理:
#hagrp -clear <group> [-sys <system>]
/etc/rc3.d/S99vcs start
如果VCS非正常退出,导致hastart无法正常启动时,执行下列命令:
hasys -force <sys>
<sys>的值是不能正常启动的VCS的主机
停止:hass stop
1.1.3 VCS系统运行状况监控
1.1 VCS 维护
1.1.1 VCS的目录列表
/opt/VRTS
VCS的管理命令在该目录下的bin目录中
VCS管理命令的对应menual文件在该目录下的man目录中
/opt/VRTSvcs
vcs常用命令

目前的集群软件很多,在大型系统中应用较广泛的是VCS(全称是Veritas Cluster Server)和Sun Cluster,VCS提供了较开放的定制接口,可以根据自身业务系统的需要定制其监控、启停和倒换的动作,这些属于集群或高可靠系统设计和开发的范畴了,在这之前有必要先熟悉VCS的常用操作,这在后期的维护中也是很常用的。
接下来就一起看看这些命令:安装加载VCS licensevxlicinst启动单个节点的VCS服务,如果要启动所有节点的VCS服务,或者说要启动整个集群,那么就需要到集群内各个节点上分别执行hasarthastart停止单个节点的业务:hastop -local停止整个集群的业务:hastop -all只关闭VCS相关进程,而不停止VCS所保护的业务进程:hastop -local -force (单个节点)hastop -all -force (整个集群)查看集群状态:hastatushastat -sum查看资源状态:hares -state查看资源组状态:hagrp -state查询指定的资源组service_group状态:hagrp -state [service_group] -sys [system]强制VCS读取system上$VCS_CONF/conf/config目录下的配置文件进行启动集群工作:hasys -force systemname使配置文件可读写:haconf -makerw使配置文件只读:haconf -dump -makero查询资源resource及其相关参数(hagrp类似):hares -display [resource]hares -display -attribute [attribute]hares -display -group [service_group]hares -display -type [resource_type]hares -display -sys [system]查询主机列表:hasys -listhasys -display [system]haclus -value attributehaclus –display查询集群日志:hamsg -listhamsg -info [-path path_name] LDF基本管理操作示例:启动服务组及使服务联机:hagrp -online service_group -sys system关闭服务组及使服务脱机:hagrp -offline service_group -sys system将服务组切换到另一个系统上:(只对failover组有效,且当服务组中服务部分或全部联机时):hagrp -switch service_group -to system冻结服务组(disable onlining, offlining, and failover),option -persistent 是使cluster重启时freeze:hagrp -freeze service_group [-persistent]解冻被冻结的服务组(reenable onlining, offlining, and failover)hagrp -unfreeze service_group [-persistent]激活服务组:(服务组激活后才能进行联机操作)hagrp -enable service_group [-sys system]禁用服务组:(服务组禁用后不能进行联机或切换操作)hagrp -disable service_group [-sys system]激活服务组中资源:hagrp -enableresources service_group禁用服务组中资源:(如资源为disable时agents不监控资源组)hagrp -disableresources service_group清除故障状态hagrp -clear [service_group] -sys [system] (资源组)hares -clear [resource] (资源)管理资源操作:使资源服务启动:hares -online resource -sys system使资源服务停止:hares -offline resource -sys system在ADMIN_W AIT状态下强制主机加载集群,此命令会覆盖正在使用的集群配置,使用前请确认准备使用的主机的集群配置文件是否有效:hacf –verify /etc/VRTSvcs/conf/concighasys -force system修改主机的属性,一些属性是VCS的内部属性,不能修改:hasys -modify modify_options冻结主机(防止主机进行联机或切换操作)hasys -freeze [-persistent] [-evacuate] system解冻被冻结的主机(使主机可以进行联机或切换操作)hasys -unfreeze [-persistent] system管理集群:haclus [-help [-modify]]。
VCS指导

vcs_guide范贵成/2004.4.1一、VCS简介VCS(Verilog Compiled Simulator)定位于大型、复杂电路的快速仿真,主要用于Verilog 源代码的编译仿真,但也能对VHDL、C/C++源代码进行混合仿真。
VCS支持命令行方式(CLI),为了进行图形界面调试,VCS 包含了一个图形仿真器VirSim(Virtual Simulator Environment),下面主要讲述VirSim的使用。
二、VCS的简单CLI命令在VCS的CLI方式下,输入的所有命令都以vcs开头。
vcs -h 帮助命令,列表显示vcs后可跟的所有命令选项及简单解释;vcs -RI Run Interactive. Starts VirSimimmediately after compilation ;vcs -line Enables stepping through the code andsource line breakpoints in VirSim ;vcs +cli+1|2|3|4 +cli Enable CLI debugging,1enables you to see the values ofnets and registers and depositvalues to registers;2also enables breakpoints on valuechanges of nets and registers ;3also enables you to force a valueon nets ;4also enables you to force a valueon a register ;vcs -Mupdate Enable incremental compilation andoverwrite the make file ;vcs –M Enable incremental compilation,butdo not overwrite the makefile ;vcs –f Specifies a file that contains a list ofpathnames to source files andcompile-time options ;vcs -i <filename> Specifies a file containing CLIcommands that VCS executes whensimulation starts ;三、VirSim Debugging设文件名为addr4.v,常用的编译仿真命令为:vcs –RI –line –Mupdate +cli+4 addr4.v输入该命令后,经过VCS的编译,即打开VirSim仿真界面。
VCS异地容灾常用命令

VCS异地容灾的常用命令1. VM相关命令如下1、查看配置结果:#vxdisk list#vxdisk path2、查看磁盘信息:#fdisk -l3、查看磁盘使用情况:#df -h4、查看文件系统跟磁盘的关系# more /etc/vfstab5、磁盘vxdisk 管理配置:# vxdiskadm6、卷标查看:# vxassist list7、VEA磁盘界面配置命令:#vea8、创建Disk Group# vxdisksetup -if sdb(设备名称)9、初始化Disk Group内磁盘# vxdg init netnumendg lv01=sdb10、在Disk Group内磁盘上创建卷标#/usr/sbin/vxassist -g netnumendg -b make netnumen 30g layout=nostripe11、创建文件系统# newfs mkfs -t vxfs /dev/vx/rdsk/netnumendg/netnumen12、查看机器设备信息:# devfsadm -C# cfgadm -al2. RVG相关命令如下1. 查看双机RVG的状态:#vradmin -l printrvg#vradmin -g netnumendg repstatus netnumenrvg2. RVG主备切换:#vradmin -g netnumendg migrate netnumenrvg3. RVG出现双主状态时修复命令:#vradmin -g netnumendg fbsync netnumenrvg执行后,原先的备机将变成主机。
4. RVG启动和停止Replication#vradmin -g netnumendg -f startrep netnumenrvg# vradmin -g netnumendg -f stoprep netnumenrvg5. 核实VVR Replicatikon State#vxprint -g netnumendg netnumenrvg6. 创建主RVG# vradmin -g netnumendg createpri netnumenrvg netnumen_vol,emsoracle_vol,emsuep_vol,emsn31_vol,emscn_vol,SPN_FMS_vol,emsnmsi_vol, srl_vol7. 添加副RVG# vradmin -g netnumendg addsec netnumenrvg 错误!未找到引用源。
vcs使用手册

vcs使用手册版本控制系统(Version Control System,简称VCS)是软件开发中非常重要的工具,用于管理和跟踪代码的版本和变更。
本手册将介绍VCS的基本概念、常用命令和最佳实践,以帮助开发人员更好地使用版本控制系统。
一、基本概念1. 仓库(Repository)仓库是VCS存储代码的地方,包括所有版本的代码和历史记录。
2. 分支(Branch)分支是仓库中的一个独立的代码副本,用于开展不同的开发工作,例如新功能开发、修复bug等。
3. 提交(Commit)提交是对代码进行的修改操作,每次提交都会记录代码的状态和变更信息。
4. 标签(Tag)标签是用于标记特定版本的标识符,通常用于发布版本。
二、常用命令1. 初始化仓库:`git init`2. 添加文件到仓库:`git add <file>`3. 提交变更:`git commit -m "commit message"`4. 查看提交历史:`git log`5. 切换分支:`git checkout <branch>`6. 创建分支:`git branch <branch>`7. 合并分支:`git merge <branch>`8. 标签管理:`git tag <tag>`9. 查看标签:`git tag`10. 推送分支到远程仓库:`git push origin <branch>`11. 拉取远程仓库的分支:`git pull origin <branch>`三、最佳实践1. 遵循简洁的提交信息:在提交信息中简要描述变更内容,方便日后查看和理解。
2. 分支管理规范:合理使用分支,避免在主分支上进行日常开发,及时合并分支。
3. 定期推送和拉取代码:保持本地仓库与远程仓库同步,避免出现冲突。
4. 使用标签管理版本:为每个发布版本打上标签,方便回溯和发布。
VCS常用命令说明

VCS常⽤命令说明VCS常⽤命令说明1、VCS仿真环境:2、VCS常⽤编译命令:vcs source_files [source_or_object_files] optionseg: vcs top.v toil.v -RI +v2ksource_filesThe Verilog, OpenVera assertions, or OpenVera testbench source files for your design separated by spaces.source_or_object_filesOptional C files (.c), object files (.o), or archived libraries (.a). These are DirectC or PLI applications that you want VCS to link into the binary executable file along with the object files from your Verilog source files.OptionsCompile-time options that control how VCS compiles your Verilog source files. Details of Options:+incdir+directory: Specifies the directory or directories that VCS searches for include files used in the `include compiler directive. More than one directory may be specified, separated by +.-I: Compiles for interactive use.-line: Enables source-level debugging tasks such as stepping through the code, displaying the order in which VCS executed lines in your code, and the last statement executed before simulation stopped. Typically you enter this option with a +cli option,for example: vcs +cli+1 -line-l filename:Specifies a file where VCS records compilation messages. If you also enter the -R or -RI option, VCS records messages from both compilation and simulation in the same file.-P pli.tab: Compiles a user-defined PLI definition table file.-PP: Compiles a VCD file for interactive debugging while minimizing the amount of net data for fast post-processing.+v2k: Enables new language features in the proposed IEEE 1364-2001 standard. See “Implemented IEEE Std 1364-2001 Language Constructs” on page 2-23.-v filename:Specifies a Verilog library file. VCS looks in this file for module and UDP definitions for the module and UDP instances that VCS found in your source code when it did not find the corresponding module or UDP definitions in your source code.+define+macro:Defines a text macro in your source code to a value or character string. You can test for this definition in your Verilog source code using the …ifdef compiler directive.-f filename: Specifies a filename that contains a list of absolute pathnames for Verilog source files and compile-time options. +vc: Enables extern declarations of C/C++ functions and calling these functions in your source code.-vera: Specifies the standard VERA PLI table file and object library.-comp64: option to compile a design on a 64-bit machine;3、VCS运⾏命令1、Running:$ simv2、Save and Restart ExampleA simple example of save and restart is given below to demonstrate the basic functionality.The $save call does not execute a save immediately, but schedules the checkpoint save at the end of the current simulation time just before events scheduled with #0 are processed. Therefore, events delayed with #0 are the first to be processed upon restart. Example:test.v:module simple_restart;initial begin#10$display("one");$save("test.chk");$display("two");#0 // make the following occur at restart$display("three");$display("four");endendmoduleNow to compile the example Source file:vcs -Mupdate test.vNow run the simulation:simvrestart the simulation from the state saved in the check file,enter:test.chkVCS displays the following:Restart of a saved simulationthreefour4、System Task to Generate VCD/VCD+ FileVCD+ system tasks are used to capture and save value change data in a binary format so that the data can be viewed in the Waveform Window, Register Window, Source Window, and Logic Browser.$vcdpluson : begins recording signal value changes of the specified scope(s) or signals to the VCD+ history file. Syntax:$vcdpluson (level,scope*,signal*);$vcdplusoff :s tops recording the signal value changes for specified scope(s) or signal(s).Syntax:$vcdplusoff (level,scope*,signal*);$vcdplusfile: S pecifies a VCD+ file name. If not specified, VCD.vpd is default for VHDL and vcdplus.vpd is default for Verilog.Syntax:$vcdplusfile ("filename");$vcdplusclose: Terminates all tracing, flushes data to file, closes the current VCD+ file, and resets all default settings. $vcdplusclose;5. 参考⽂件:1. VCS?/VCSi?User Guide Version X-2005.06 August 20052. VirSim User Guide Version 4.43、IEEE Standard Hardware Description Language Based on the Verilog Hardware Description Language IEEE Std 1364-1995。
vcs使用——精选推荐

vcs使⽤(⼀)编译$vcs file_name 加各种开关选项1.基本选项-Mupdate :增量编译再次编译时只编译改变的⽂件-R :编译后继续进⾏仿真-gui :打开DVE图形界⾯-l<filename>:set log file name,⽤于写编译信息⽣成log⽂件⼀般在仿真⼤型⽂件时⽤到-sverilog :⽀持system verilog 语⾔+v2k :⽀持verilog语⾔2.⼯艺库相关选项-v lib_file :RTL代码⾥涉及到这个⼯艺库-y dir_file :告诉vcs去哪⾥找这个⼯艺库⼯艺库的路径+libext+lib_ext :当⽤到很多库,代替-v+incdir+inc_dir :代码⾥如果有include,使⽤这个命令告诉vcs包含⽂件的位置。
verilog代码⾥⾯写`include "filename.vh" 3.⽂件可选项-f file :有很多⽂件时把多个⽂件整合到这⼀个⽂件夹中4.修改⽂件名-o foo :修改可执⾏⽂件的名字⽂件原名为simv⽂件5.define 定义⼀个宏+define +<macro_name>=<value> :macro_name 宏名;value 初始化值;如+define+INCR_COUNTER(⼆)仿真$simv 加⼀些仿真开关选项./sim -gui &(./指在当前⽂件,sim指编译得到的可执⾏⽂件,gui指打开vcs的gui界⾯-dve,&指后台执⾏)将会得到执⾏的PID值 -s 结束仿真时间$plusargs()动态接收参数-E echo-l logfile 把仿真信息写⼊logfile⽂件⾥(三)VCS Debug的三种⽅法1.系统任务法 system task calls $display 打印变量赋值前值 $montor 打印变量赋值后值,变量值改变,打印值也变 $time 仿真时间 $readmemb 将⽂件⾥的内容读⼊存储器中,读⼆进制binary $readmemh 将⽂件⾥的内容读⼊存储器中,读⼗六进制hexadmecimal2.UCLI命令⾏法$vcs filename +v2k -ucli -R 3.VCS DVE。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
VCS常用命令
Veritas的log缺省目录为/var/VRTSvcs/log;
Veritas的相关配置(资源等配置文件,对应与java图形终端上做的配置文件格式)目录为:/etc/VRTSvcs/conf/config;
安装VCS的License(root用户下执行),两种方法:
halic <key>
vxlicinst –k <key>
使用/etc/rc.d/vcs {start|stop|restart|condrestart|status}命令可以启动、停止、重启VCS及查看VCS状态;
也可使用hastart [-stale|-force]来启动VCS;
也可使用下列命令来查看集群状态:
hastatus
hastat -sum
强制VCS读取system上$VCS_CONF/conf/config目录下的配置文件进行启动集群工作:hasys –force systemname
启动单节点集群:
Hastart –onenode
以时间共享方式启动VCS:
Hastart –ts
关闭整个集群服务:
hastop -all [-force]
关闭集群中一台主机上的集群服务:
hastop -local
只关闭VCS相关进程,而不停止VCS所保护的服务进程:
hastop -sys system [-force | -evacuate]
使配置文件可读写:
haconf –makerw
使配置文件只读:
haconf -dump –makero
增加用户,用户默认有观察员身份:
hauser -add user
给用户添加操作权限:
将用户提升为集群管理员:
haclus -modify Administrators -add user
将用户提升为集群操作员:
haclus -modify Operators -add user;
将用户提升为服务组管理员:
hagrp -modify service_group Adminstrators -add user
将用户提升为服务组操作员:
hagrp -modify service_group Operators -add user
删除用户:
hauser -delete user
显示所有用户:
hauser -display
查询service_group状态:
hagrp -state [service_group] -sys [system];
查询节点之间依赖关系:
hagrp -dep [service_group]
查询资源表:
hagrp -resources [service_group] ;
hagrp -display [service_group] [-attribute attribute] [-sys system] hares -display [resource]
hares -display -attribute [attribute]
hares -display -group [service_group]
hares -display -type [resource_type]
hares -display -sys [system]
查询资源代理列表:
hatype -list
hatype -resources resource_type
hatype -display [resource_type]
haagent -display [agent]
查询主机列表:
hasys -list
hasys -display [system]
haclus -value attribute
haclus –display
查询集群日志:
hamsg -list
hamsg -info [-path path_name] LDF
基本管理操作:
启动服务组及使服务联机:
hagrp –online service_group –sys system
关闭服务组及使服务脱机:
hagrp –offline service_group –sys system
将服务组切换到另一个系统上:(只对failover组有效,且当服务组中服务部分或全部联机时):
hagrp -switch service_group -to system
冻结服务组(disable onlining, offlining, and failover),option -persistent 是使cluster重启时freeze:
hagrp -freeze service_group [-persistent]
解冻被冻结的服务组 (reenable onlining, offlining, and failover)
hagrp -unfreeze service_group [-persistent]
激活服务组:(服务组激活后才能进行联机操作)
hagrp -enable service_group [-sys system]
禁用服务组:(服务组禁用后不能进行联机或切换操作)
hagrp -disable service_group [-sys system]
激活服务组中资源:
hagrp -enableresources service_group
禁用服务组中资源:(如资源为disable时agents不监控资源组)
hagrp -disableresources service_group
清除故障状态
hagrp -clear [service_group] -sys [system]
管理资源操作:
使资源联机:
hares -online resource -sys system
使资源脱机:
hares -offline resource -sys system
使资源脱机并将命令传播给子节点:
hares -offprop resource -sys system
提示资源代理(agent)监控指定系统上的资源:(命令可能立即返回,但监控的进程
可能还没结束)
hares -probe resource -sys system
清洗资源,使状态从RESOURCE_FAULTED 转为oRESOURCE_OFFLINE:
hares -clear resource [-sys system]
管理主机:
在ADMIN_WAIT状态下强制主机加载集群,此命令会覆盖正在使用的集群配置,使用前请确认准备使用的主机的集群配置文件是否有效:
hacf –verify /etc/VRTSvcs/conf/concig
hasys -force system
修改主机的属性,一些属性是VCS的内部属性,不能修改:
hasys -modify modify_options
查询主机ID,主机ID定义在文件/etc/llttab中:
hasys –nodeid node_ID
冻结主机 (防止主机进行联机或切换操作)
hasys -freeze [-persistent] [-evacuate] system
解冻被冻结的主机 (使主机可以进行联机或切换操作)
hasys -unfreeze [-persistent] system
管理集群:
haclus [-help [-modify]]。