ModelSim-Altera使用方法.pdf[1]

ModelSim-Altera使用方法.pdf[1]
ModelSim-Altera使用方法.pdf[1]

平台

软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容

1 设计流程

使用ModelSim仿真的基本流程为:

图1.1 使用ModelSim仿真的基本流程

2 开始

2.1 新建工程

打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面

1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name 为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图

2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框

2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D: /led_flow。

图2.3 输入工程信息

当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。

图2.4 Project标签

3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。

图2.5 在工程中,添加新项目

2.2 在工程中,添加新项目

在Add Items to the Project对话框中,包括以下选项:

?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL 或文本文件

?Add Existing File——添加一个已存在的文件

?Create Simulation——创建指定源文件和仿真选项的仿真配置

?Create New Folder——创建一个新的组织文件夹

1. 单击Create New File。打开图

2.6所示窗口。

图2.6 创建工程文件夹

2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

图2.7 输入工程文件信息

3. 单击OK,关闭本对话框。新的工程文件将会在工程窗口显示。单击Close,以关闭A dd Items to the Project。

图2.8 新的设计文件LED_FLOW.v

4. 双击打开LED_FLOW.v文件(注意:若是Verilog文件已经关联了其他的文本编辑器,则双击后在关联的文本编辑器中打开)。

图2.9 LED_FLOW代码输入窗口

在LED_FLOW.v输入下面的测试平台代码:

`timescale 1ns/1ns

module LED_FLOW;

reg CLOCK_50M;

reg RST_N;

wire [9:0] LED;

led led_inst

(

.clk_50M(CLOCK_50M),

.reset_n(RST_n),

.led(LED)

);

initial

begin

CLOCK_50M = 0;

while (1)

#10 CLOCK_50M = ~CLOCK_50M;

end

initial

begin

RST_N = 0;

while (1)

#10 RST_N = 1;

end

initial

begin

$display($time,"CLOCK_50M=%d RST_N=%d LED =%d", CLOCK_50M, RST_N, LED);

end

endmodule

录入完代码后,单击Save。

图2.10 输入testbench代码

5. 选择File>New>Source>Verilog,创建新的Verilog文件,如图2.11所示。

图2.11 创建新的Verilog文件

6. 录入下面的代码,录入画面如图2.12 所示。

`timescale 1ns/1ns

module led(

input clk_50M, // System clock 50MHz

input reset_n, // System reset

output reg [9:0] led // led

);

reg [13:0] counter = 0;

reg [3:0] state = 0;

always @ (posedge clk_50M, negedge reset_n) if (!reset_n)

counter <= 0;

else

counter <= counter + 1'b1;

always @ (posedge counter[13])

if (!reset_n)

state <= 0;

else

begin

if (state == 4'b1001)

state <= 0;

else

state <= state + 1'b1;

end

always @ (posedge clk_50M, negedge reset_n) if (!reset_n)

led <= 0;

else

begin

case (state)

4'b0000: led <= 10'b00000_00001;

4'b0001: led <= 10'b00000_00010;

4'b0010: led <= 10'b00000_00100;

4'b0011: led <= 10'b00000_01000;

4'b0100: led <= 10'b00000_10000;

4'b0101: led <= 10'b00001_00000;

4'b0110: led <= 10'b00010_00000;

4'b0111: led <= 10'b00100_00000;

4'b1000: led <= 10'b01000_00000;

4'b1001: led <= 10'b10000_00000;

default: led <= 10'b00000_00001;

endcase

end

endmodule

图2.12 录入新文件

7. 选择File>Save,输入文件名:led.v,单击Save,如图2.13所示。

图2.13 保存led.v

8. 选择Project>Add to Project>Existing File,如图2.14所示。

图2.14添加文件到工程中

9. 单击Browse,选择led.v,如图2.15 所示。

图2.15 选择待加入工程的文件

10. 单击打开,在Add file to the project窗口,单击OK。

2.3 编译文件

在Project标签下的Status列的问号,表示文件尚未编译进工程,或者在最后编译前,源文件有所改动。欲编译文件,选择CompileCompile All。

1. 倘若此处没有错误,编译成功的消息,就会在Transcript窗口如图

2.6所示。

图2.16 编译成功

3 仿真工程

3.1 开始仿真

1. 单击Library图标,选择work,单击+以展开选项,然后选择LED_FLOW。单击右键,选择编译,如图3.1所示。

图3.1 单击Simulate

2. 单击Simulate,到达图

3.2所示画面。

图3.2 仿真窗口

4. 在图3.2中,单击LED_FLOW,单击右键,然后选择Add>To Wave>All Item s in region,然后单击左键。出现图3.3所示画面。

图3.3 Add To Wave

3.2 仿真设置

1. 完成上述最后一步后,波形窗口出现。

图3.4 波形窗口

2. 在Run Length列输入仿真时间长度为10ms,如图

3.5所示。

图3.5 设置Run Length

3. 单击Run按钮,如图3.6所示。

图3.6 运行仿真

4. 运行若干秒后,将会如图3.9所示的仿真结果。

图3.7 显示仿真结果

5. 连续单击Zoom Out图标,可查看仿真的完整波形,如图3.8所示。

汉王电纸书——N510(上上版)

汉王电纸书——N510(上上版) 汉王电纸书N510(上上版)北京明华诚信公司 销售的采用全新的电子墨水技术,显示效果如同纸 张,长时间阅读不伤眼。N510(上上版)采用全新 的外包装设计,预装千余本图书,部分有声读物, 为广大用户提供质的享受。 在N510(上上版)中,产品增加了简、繁、英 语言的切换功能,满足不同用户的需求。与之前的 n510相比,N510(上上版)增加了字体切换,用户 可以自由选择系统字体。除此之外N510(上上版) 在格式上增加了DOC,PPT格式解析,支持部分版式, 流式PDF的切换,为众多用户阅读PDF专业文献解 决一大难题。 更多精彩等待您的体验! 电子纸显示技术: E?Ink公司所研发的此种电子纸张,是由两片基板所组成,上面涂有一种由无数微小透明颗粒组成的电子墨水,颗粒由带正、负电的许多黑色与白色粒子密封于内部液态微胶囊内形成,不同颜色的带电粒子会因施加电场的不同,而朝不同的方向运动,在显示屏表面呈现出黑或白的效果。同时只有画素颜色变化时(例如从黑转到白)才耗电,关电源后显示屏上画面仍可保留,因此非常省电。功耗是同尺寸大小TFT液晶的千分之一。 智能电源管理: 本产品采用SpeedStep动态电源管理技术,CPU可以动态地在休眠状态和唤醒状态之间进行切换,不但不影响CPU的文档处理能力,而且极大降低了系统功耗,使得本产品用作正常读书的平均功耗是手机等同类电子产品的百分之一。 功能特点: 节能环保 一次充电,开机状态可连续待机15天以上,无需天天充电。 保护视力 可长时间阅读,无闪烁,字号缩放自如,不伤眼睛。卷卷好书,尽情阅读。 强光可看 基于电子墨水技术的电子纸显示屏,可在阳光照射下不反光,使您充分体验户 外阅读的乐趣。 无辐射 使用安全,避免一般电子类产品辐射对身体的侵害,是您健康的阅读伴侣。 全视角阅读 高清晰度,接近纸张的显示效果,阅读视角可接近180°。 超低功耗 独特的智能电源管理技术,可连续翻页7000次以上。

汉王电纸书——N510(精华版)

汉王电纸书——N510(精华版) 汉王电纸书N510是北京明华诚信科技有 限公司专业销售的一款采用全新的电子墨水 技术,显示效果如同纸张,长时间阅读不伤 眼。支持 TXT(HTXT)、HTML、PNG、JPG、GIF 格式。 电子纸显示技术: E·Ink公司所研发的此种电子纸张,是由两片基板所组成,上面涂有一种由无数微小透明颗粒组成的电子墨水,颗粒由带正、负电的许多黑色与白色粒子密封于内部液态微胶囊内形成,不同颜色的带电粒子会因施加电场的不同,而朝不同的方向运动,在显示屏表面呈现出黑或白的效果。同时只有画素颜色变化时(例如从黑转到白)才耗电,关电源后显示屏上画面仍可保留,因此非常省电。功耗是同尺寸大小TFT液晶的千分之一。 智能电源管理: 本产品采用SpeedStep动态电源管理技术,CPU可以动态地在休眠状态和唤醒状态之间进行切换,不但不影响CPU的文档处理能力,而且极大降低了系统功耗,使得本产品用作正常读书的平均功耗是手机等同类电子产品的百分之一。 功能特点: 节能环保 一次充电,开机状态可连续待机15天以上,无需天天充电。 保护视力 可长时间阅读,无闪烁,字号缩放自如,不伤眼睛。卷卷好书,尽情阅读。 强光可看 基于电子墨水技术的电子纸显示屏,可在阳光照射下不反光,使您充分体验户外阅读的乐趣。 无辐射 使用安全,避免一般电子类产品辐射对身体的侵害,是您健康的阅读伴侣。 全视角阅读 高清晰度,接近纸张的显示效果,阅读视角可接近180°。 超低功耗 独特的智能电源管理技术,可连续翻页7000次以上。 装进上衣口袋 玲珑机身,轻巧便携。可随时放置在上衣口袋中,随时随地方便阅读。 可存几千本图书 1GB存储卡可存储5亿字,相当于近千套三国演义。

汉王最新推出电纸书——F30

汉王最新推出电纸书——F30 汉王电纸书F30是汉王最新推出的一款 6寸非手写类纸阅读器。采用全新电子墨水技 术,效果酷似纸张,无辐射、低功耗、不闪 烁、环保不伤眼。产品预装3000余册正版图 书, F30产品外观沿用了获得中国工业设计 最高奖“红星奖”的经典方案,婉约简洁, 时尚雅致,做工精良,配备标准全键盘,让 爱书又爱美的你爱不释手。 主要功能: 配备了全键盘,方便词典查询输入 文本记事 六英寸显示屏,更加适合用户阅读的尺寸设计,显示更多 支持阅读页面关键字全文搜索功能,查找书内文字 配备权威词典,快译大典,古汉语词典 键盘上诸多快捷功能,刷新、字体放大,音频音量控制,使操作更加简捷 支持屏幕横竖向旋转,便于阅读pdf文档 预装大量英文原著读物,海量图书资源 具备TTS语音智能发声功能,全文朗读。普通话,粤语,英语,男女声选择 电子纸显示技术 E?Ink公司所研发的此种电子纸张,是由两片基板所组成,上面涂有一种由无数微小透明颗粒组成的电子墨水,颗粒由带正、负电的许多黑色与白色粒子密封于内部液态微胶囊内形成,不同颜色的带电粒子会因施加电场的不同,而朝不同的方向运动,在显示屏表面呈现出黑或白的效果。同时只有画素颜色变化时(例如从黑转到白)才耗电,关电源后显示屏上画面仍可保留,因此非常省电。功耗是同尺寸大小TFT液晶的千分之一。智能电源管理 采用SpeedStep动态电源管理技术,CPU可以动态地在休眠状态和唤醒状态之间进行切换,不但不影响CPU的文档处理能力,而且极大降低了系统功耗,使得本产品用作正常读书的平均功耗是手机等同类电子产品的百分之一。 汉王电纸书始终为智慧阶层提供贴身服务 ?随机预装3000余册正版经典图书,是企业高管、商界精英、学者的随身锦囊 ?可预装个性化阅读内容,教辅资料可自行装入,成为莘莘学子、家长信赖的学习助手?定制化祝福界面,商务迎送馈赠合作单位、战略伙伴,使您与客户更贴近 ?汉王电纸书环保不伤眼,同时支持MP3播放,休闲时刻当然贴身陪伴 资源丰富 ?预装3000余册图书+部分有声读物 ?目前为止,汉王书城已经上传6万余册正版图书,购买完产品后即可以下载,其中免费资源为一万五千余册左右。 ?汉王书城网址:https://www.360docs.net/doc/278688475.html,.您现在就可以上汉王书城在线阅读。

汉王电纸书N510刷机到N516

汉王电纸书N510精华版刷到N516 2012-4-19 N510精华和N516的硬件是一样的,除了N516多了个扬声器,支持外放。 可以按照恢复固件的方法把N510精华刷出mp3 和录音功能。 一、准备刷机工具 1、usb_boot软件下载网址: https://www.360docs.net/doc/278688475.html,/pub/contrib/n516-usbboot/azbooka-usbboot-new.tar.gz 2、uImage.cfg和rootfs.cfg 下载网址 https://www.360docs.net/doc/278688475.html,/wiki/Device/N516/FlashOriginalFirmware(在这个网页左方有链接,注意一个个点开来下USBBoot.cfg那文件也最好一起下) 3、N516固件(建议用N516精华版升级包ebook_N516_plus_20100622,下载后,直接用23420文件夹中的四个文件)下载网址: https://www.360docs.net/doc/278688475.html,/server/download/download_225.htm 把以上1,2,3这三样全部都解压到一个文件夹中就可以了!(在这个文件夹内不能再含文件夹) 二、刷机 1、把电子书关机后连接电脑,按住横屏键+电源键。此时电脑会显示发现新硬件(如果是停在开机画面这种情况,就要按横屏键和reset键,没反映就要多按几秒种),同时电脑无法完成新硬件驱动程序的安装,需要指定驱动程序位置,就是刚才所有工具的文件夹中 的 Usb_Boot_Driver文件。完成驱动安装之后,电脑也就识别了电子书(停在开机画面的这种情况,因为已安装过驱动,即使识别了电子不会出现找到新硬件,这时,可以直接进行第2步)。 2、启动Usb_Boot.exe,依次输入:(注意打字时uImage 这个词应该是uimage)boot 0 fconfig uImage.cfg 0 nerase 0 4096 0 0 nprog 0 uImage-upgrade 0 0 -e fconfig rootfs.cfg 0 nprog 6144 rootfs-upgrade 0 0 -e 注意:在每输入一条命令后,都要按回车,系统都要执行,执行完毕后会显示USBBoot :> 。 3、重启 一切完成之后按reset键重启,查看版本为V23420-20100609,升级成功!真的变成N516了。 后记:如果在刷机过程中出现死机,打算要拆机放电的时候,试着横竖版切换、放大键、电源键、reset四个键同时按,按上大约5秒,如果还不行,就先按rest,再按其他三个,要么就是先按住其他三个,再按rest键,多试几次就发现指示灯变为红色且保持红色不便,这说明电脑认出了usb设备,可以进行刷机了。

相关文档
最新文档