8路彩灯循环控制电路 实验报告

合集下载

八路流水灯循环点亮

八路流水灯循环点亮

黄山学院专业姓名班级学号指导老师八路循环彩灯控制电路一、 设计要求(1)设计一组8路循环彩灯控制电路,发光二极管的亮度要明显可见。

(2)、点亮要有一定的规律,即按顺序点亮。

(3)、元件、芯片的摆放要合理。

(4)、布线要紧密、尽量短(5)用protuse 仿真,1 题目分析:八路彩灯循环点亮电路功能描述:八只彩灯按顺序轮流点亮,首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后又点亮第一盏灯,反复循环这一过程。

2 整体构思:彩灯的控制是用数字集成电路的构成来实现的,用彩灯(LED 发光二极管)构成一个发光矩阵。

主要用计数器和译码器等来实现,其特点是用发光二极管显示,构成具有循环功能的彩灯控制电路。

将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮。

用框图表示如下。

3 具体实现: (1)、根据题目要求,找到可能完成此功能的芯片。

一共有八只灯所以要用74LS138 3线-8线译码器74LS161十进制上升沿计数器。

(2)、确定芯片后,完成电路图。

(3)、根据题目要求,要实现八只灯按顺序点亮电路就要用到74LS138 3线-8线译码器和74LS161具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。

以及发光二极管、电阻、导线、电平开关和万用版。

(4)、按照电路图连接线路,检查是否连接正确 。

(5)、检查功能实现,如果不能进行调试、修改。

二、单元电路设计参考1、振荡器设计:用集成555电路构成多谐振荡器。

电路如下图所示。

振荡器计数器 译码器 8路彩灯 → → →U 0的周期: 2、计数器的选用:选四位二进制同步加/减计数器74LS161。

其引脚功能图如下所示。

其真值表如下:)C 2R 0.7(R T 21+=三、设计原理电路四、材料清单:五、protuse仿真部分截图图:六、心得体会:实验之前必须认真阅读有关方面的知识,在心中要有一个大概的模型或过程。

单片机实训报告(8个LED指示灯循环移动)

单片机实训报告(8个LED指示灯循环移动)

单⽚机实训报告(8个LED指⽰灯循环移动)⼀、实验⽬的:通过所学汇编语⾔和C语⾔知识,熟悉并掌握单⽚机综合仿真实验仪的使⽤⽅法,学会使⽤Keil uVision2编写程序。

⼆、实验器材:单⽚机综合仿真实验仪、AT89C51、计算机、导线。

三、实验功能:利⽤定时计数器T0⼯作⽅式1,实现定时50ms,中断20次,实现精确定时1秒以控制8个LED指⽰灯从左到右间隔1秒时间循环移动,当LED指⽰灯移动次数到32次时,8个LED指⽰灯停⽌循环移动,蜂鸣器响1秒后停⽌声⾳提⽰;⼜接着控制8个LED指⽰灯从右到左间隔1秒时间循环移动,依此类推。

四、实验要求:只能利⽤单⽚机的4个P1⼝控制74HC138译码器以及蜂鸣器来实现上述功能,并对程序进⾏注解;注明:刚开始时,最左边的LED指⽰灯点亮,画出电路图。

五、计算定时/计数器的初值X(2^16-X)*1=50000,X=15536D=03CB0H.低8位送给TL0,⾼8位送给TH0,即(TL0)=0B0H,(TH0)=03CH六、实验原理图:七、实验参考程序:#includebit direct=1,speak=0,counter=0;int i=0,j=0,k=0,p=0,m,n;sbit P13=P1^3; //定义P1.3引脚main() //主函数{TMOD=0x01; //T0计数⽅式1TL0=0xb0; //定时50msTH0=0x3c;EA=1; //开T0中断ET0=1;TR0=1; //启动T0 while(1) //等待中断{while(speak){P13=~P13; //输出取反for(m=0;m<2;m++)for(n=0;n<2;n++);}if(counter){counter=0;TR0=1;if(direct&&!speak){P1=i;i++;if(i==8){j++;if(j==4){j=0;direct=0;speak=1;}elsei=0;}}else if(!direct&&!speak){i--; //循环右移P1=i;if(i==0){j++; //循环左移if(j==4) //移动周期{j=0;direct=1;speak=1;}elsei=8;}}}}}void time0(void) interrupt 1 //T0中断服务函数{ TR0=0; //重启动TL0=0xb0; //重装初值TH0=0x3c;if(speak){++p;if(p==20) //蜂鸣器响应时间{p=0;speak=0;}}else++k;if(k==20) //LED灯间隔1秒移动{k=0;counter=1;}elseTR0=1;}⼋、实验总结:通过这次实训,我拓宽了知识⾯,锻炼了能⼒,综合素质得到较⼤提⾼。

彩灯循环显示控制器实验报告

彩灯循环显示控制器实验报告

彩灯循环显示控制器电气信息类(创新实验班)一,实验目标1.4路输出循环彩灯电路:设4路彩灯记为L3、L2、L1、L0。

实现如下花型:花型1:彩灯L3-L0,依次按L3,L3L2,L3L2L1,L3L2L1L0点亮;花型2:彩灯L3-L0,依次按L0,L1L0,L2L1L0,L3L2L1L0熄灭;花型3:彩灯L3-L0,全亮再全灭。

三种花型依次循环显示。

2.8路输出循环彩灯电路,实现如下花型:花型1:由中间往外对称依次点亮,全部点亮后,再由中间往外依次熄灭。

花型2:前4路彩灯与后4路彩灯分别从左到右顺次点亮,再顺次熄灭。

两种花型交替循环显示。

二,实验方案1.1)输出单元电路:彩灯循环显示的花型状态又移位寄存器单元电路的输出状态决定。

由一片4位移位寄存器74LS194实现。

2)分频单元电路:实现对时钟信号的四分频,由D触发器74LS74构成。

3)控制信号产生单元电路:用计数器74LS193。

2.1)输出单元电路:由两片4位移位寄存器74LS194实现。

2)分频单元电路:74LS90构成8进制计数器3)节拍控制单元电路:74LS74使S1,S0转换。

三,实验步骤4路输出循环彩灯电路1.4路输出循环彩灯电路:再依次按L0,L1L0,L2L1L0,L3L2L1L0熄灭,然后全亮再全暗,由此循环。

由图上仿真可以看出,8盏灯先全暗,然后1D和2A(即中间两盏灯)开始亮,向往外对称依次点亮,再由中间往外依次熄灭。

然后进入下一个花型:前4路彩灯与后4路彩灯分别从左到右顺次点亮,再顺次熄灭。

五,实验验证这个实验是在实验箱上验证的,4路输出循环彩灯电路中的4盏灯按照预期亮。

而8路输出循环彩灯电路的8盏灯虽然亮了但是不是按照预期的规律。

用555时基电路构成多谐振荡器,用该脉冲作为8路输出循环彩灯电路的脉冲源,与6和2端口相接的电容影响频率,可是8盏灯也不是按照预期的亮。

但是仿真却可以。

六,实验心得:这次实验算不上成功,因为在实验箱上的灯没有按照规律亮,不过仿真结果还是成功的。

8路彩灯控制器

8路彩灯控制器

8路彩灯控制器实验报告8路彩灯控制器一、实验目的:1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构。

二、实验要求:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花形循环变化。

两种节拍分别为0.25s和0.5s。

三种花型分别是:1、8路彩灯从左至右按次序见谅,全亮后逆次序渐灭。

2、从中间到两边对称渐亮,全亮后仍从中间到两边逐次渐灭。

3、8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。

三、实验原理:四、实验程序与步骤:程序:1、8路彩灯的三种花形控制模块CDlibrary ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cd isport(clk:in std_logic;jp:out std_logic;qq:out std_logic_vector(7 downto 0)); end cd; architecture behav of cd isconstant w:integer:=7;signal q:std_logic_vector(7 downto 0); beginprocess(clk)variable flag:bit_vector(2 downto 0):="000"; variable jp1:std_logic:='0';beginif clk'event and clk='1' thenif flag="000"thenq<='1'&q(w downto 1);if q(1)='1'thenflag:="001";end if;elsif flag="001" thenq<=q(w-1 downto 0)&'0';if q(6)='0'thenflag:="010";end if;elsif flag="010"thenq(w downto 4)<=q(w-1 downto 4)&'1';q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="011";end if;elsif flag="011"thenq(w downto 4)<=q(w-1 downto 4)&'0';q(w-4 downto 0)<='0'&q(w-4 downto 1);if q(1)='0'thenflag:="100";end if;elsif flag="100"thenq(w downto 4)<='1'&q(w downto 5);q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="101";end if;elsif flag="101"thenq<="00000000";jp1:=not jp1;flag:="000";end if;end if;qq<=q;jp<=jp1;end process;end behav;2、二选一多路选择器模块MUX21 library ieee; use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0'; beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;步骤:1、输入程序CD、MUX21、FEN2。

8路彩灯循环控制

8路彩灯循环控制

黄冈师范学院物理科学与技术学院EDA课程设计课题:8路彩灯循环控制专业年级:电信0702学号:200722240218姓名:余涛指导老师:冯杰时间:2010年1月8日一、设计目的:1、熟练掌握VHDL的设计过程。

2、掌握软件和硬件结合实现功能。

3、了解FPGA/CPLD类芯片的功能及作用。

4、了解和掌握彩灯设计的思想从而为今后的电路设计奠基基础。

二、设计思想:采用的设计方法是一种高层次的“自顶而下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。

通过对VHDL语言设计普通电路来实现彩灯的控制的电路,从而能够用MAX+PLU SⅡ平台上进行仿真实现功能。

三、功能描述:此八路彩灯有3种变化,这3种变化可以进行手动切换,三种变化分别为:1、彩灯自左向右依次点亮。

2、彩灯自左向右逐个点亮。

3、彩灯两边两个先亮,然后同时向中间逐个点亮,随后中间同时向两边熄灭。

四、设计原理:本实验主要是为了实现了彩灯循环点亮的不同控制,它主要由彩灯循环控制方式和彩灯循环点亮变化方式选择两大部分组成。

1、彩灯循环控制方式的设计,在这里主要是通过实验箱指示灯显示。

在电路中以1代表灯亮,以0代表灯灭,由0、1按不同的规律组合代表不同的点亮方式,同时使其选择不同的频率,从而实现多种变化多种频率的花样功能显示。

2、彩灯循环点亮变化方式选择,在这里设计了彩灯三种不同的循环变化方式。

我们用状态机来控制不同的点亮方式,从而达到不同的点亮方式随时切换变化。

五、程序设计:(1)实现功能1:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng1 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END caideng1;ARCHITECTURE a OF caideng1 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THEN NUM<=NUM+1;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="10000000"; WHEN 1 =>Q<="01000000";WHEN 2 =>Q<="00100000"; WHEN 3 =>Q<="00010000";WHEN 4 =>Q<="00001000"; WHEN 5 =>Q<="00000100";WHEN 6 =>Q<="00000010"; WHEN 7 =>Q<="00000001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(2)实现功能2:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng2 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END caideng2;ARCHITECTURE a OF caideng2 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THENIF NUM<=7 THEN NUM<=NUM+1;ELSE NUM<=0;END IF;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="10000000";WHEN 1 =>Q<="11000000";WHEN 2 =>Q<="11100000";WHEN 3 =>Q<="11110000";WHEN 4 =>Q<="11111000";WHEN 5 =>Q<="11111100";WHEN 6 =>Q<="11111110";WHEN 7 =>Q<="11111111";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(3)实现功能3:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng3 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END caideng3;ARCHITECTURE a OF caideng3 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0; BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THENIF NUM<=7 THEN NUM<=NUM+1;ELSE NUM<=0;END IF;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="00000000";WHEN 1 =>Q<="10000001";WHEN 2 =>Q<="11000011";WHEN 3 =>Q<="11100111";WHEN 4 =>Q<="11111111";WHEN 5 =>Q<="11100111";WHEN 6 =>Q<="11000011";WHEN 7 =>Q<="10000001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(4)状态机:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng_ztj ISPORT(CLK,RST: IN STD_LOGIC;Q1,Q2,Q3: IN STD_LOGIC_VECTOR(7 DOWNTO 0);T:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END caideng_ztj;ARCHITECTURE behav OF caideng_ztj ISTYPE states IS (s0,s1,s2);SIGNAL YT : states ;BEGINP1: PROCESS(CLK,RST)BEGINIF RST ='1' THENYT <= S0;ELSIF CLK'EVENT AND CLK = '1' THENCASE YT ISWHEN s0 => YT <= s1;WHEN s1 => YT <= s2;WHEN s2 => YT <= s0;WHEN OTHERS => YT <= s0;END CASE ;END IF;END PROCESS ;P2: PROCESS(YT)BEGINCASE YT ISWHEN s0 => T(7 DOWNTO 0)<= Q1 (7 DOWNTO 0);WHEN s1 => T(7 DOWNTO 0)<= Q2 (7 DOWNTO 0);WHEN s2 => T(7 DOWNTO 0)<= Q3 (7 DOWNTO 0);WHEN OTHERS => T(7 DOWNTO 0)<=Q1 (7 DOWNTO 0); END CASE ;END PROCESS P2 ;END behav;仿真波形:(5)实现上述3项功能的总原理图:仿真波形:六、实验箱调试:1、引脚锁定:我选用实验电路结构图NO.7为这次彩灯硬件调试的操作界面。

制作循环彩灯实训报告

制作循环彩灯实训报告

一、实训目的通过本次实训,使学生掌握电路设计与制作的基本方法,提高动手能力和电路调试能力。

同时,培养学生的创新意识和团队合作精神,学会运用所学知识解决实际问题。

二、实训内容本次实训的主要内容包括:1. 确定电路设计方案;2. 制作电路板;3. 组装电路元件;4. 调试电路;5. 撰写实训报告。

三、实训过程1. 确定电路设计方案根据实训要求,我们设计了一种八路循环彩灯电路。

该电路主要由555定时器、74LS164移位寄存器、LED灯、电阻、电容等元件组成。

电路工作原理如下:- 555定时器产生一个周期为1秒的方波信号;- 74LS164移位寄存器将方波信号进行移位,控制LED灯的点亮顺序;- LED灯依次点亮,形成循环效果。

2. 制作电路板根据设计方案,我们使用PCB板制作电路板。

首先,在PCB板设计软件中绘制电路图,然后生成Gerber文件,最后将Gerber文件发送到PCB生产厂家进行制作。

3. 组装电路元件将制作好的电路板、555定时器、74LS164移位寄存器、LED灯、电阻、电容等元件按照电路图进行组装。

组装过程中,注意元件的焊接质量,确保电路的可靠性。

4. 调试电路组装完成后,进行电路调试。

首先检查电路板是否有短路、断路等问题,然后通电测试。

根据测试结果,调整电路参数,使LED灯能够正常循环点亮。

5. 撰写实训报告在实训过程中,详细记录电路设计、制作、调试的过程,分析出现的问题及解决方法,总结实训心得。

四、实训结果经过多次调试,我们成功制作了一款八路循环彩灯。

该彩灯能够按照预设的顺序依次点亮LED灯,形成循环效果。

电路稳定可靠,运行良好。

五、实训心得1. 在电路设计过程中,要充分考虑电路的可靠性和稳定性,选择合适的元件和电路参数。

2. 制作电路板时,要严格按照设计要求进行,确保电路板的精度和质量。

3. 组装电路元件时,要注意焊接质量,避免短路、断路等问题。

4. 调试电路时,要耐心细致,发现问题及时解决。

八路彩灯控制器实验

八路彩灯控制器实验
首先点亮第一盏灯在第一盏灯熄灭之后点亮第二盏灯在第二盏灯熄灭之后再去点亮第三盏灯依此类推直到点亮第八盏灯看上去的效果就像亮点从第一盏灯依次流向第八盏灯然后全部熄灭反复循环这一过程
八路彩灯控制器
功能描述:首先点亮第一盏灯,在第一盏灯熄 灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再 去点亮第三盏灯,依此类推,直到点亮第八盏灯, 看上去的效果就像亮点从第一盏灯依次流向第八盏 灯,然后全部熄灭,反复循环这一过程。 用中规模计数器设计该八路彩灯控制电路,要求: (1)每盏灯的点亮时间为100~120ms之间; (2)用555定时器设计时钟脉冲; (3)八路彩灯采用八个发光二极管代替。
A0 A1 A2 S1 S2 S3
P'0 P'1 P'2 P'3 P'4 P'5 P'6 P'7
P'0 P'1 P'2
74HC138
P'3
P'4 P'5
1
P'6
P'7
VCC(+5V) 1 R1 10kΩ
8 4 7 3
CLK
R2 10kΩ
C 22µ F
+
6 2 1 5
0.01µF
0
EP ET CLK D 0 Q0 D 1 Q1 D2 Q2 D3 Q3 C RD LD
74HC161 1
1
T≈ (R1+2R2)C×0.7, T≈462ms,
取R1=R2=10kΩ, C=22µ F
74HC138
1 0 1 0 1 0 1 0
A0 A1 A2 S1 S2 S3
Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

八路彩灯循环显示电路

八路彩灯循环显示电路

北京联合大学实验(实习、实训)报告课程(项目)名称:微型计算机技术学院:师范学院专业:计算机科学与技术班级:2009 级学号:09姓名:陈秋月成绩:2011年4月27日3八路彩灯循环控制器一、实验目的1、学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。

2、掌握计数、译码、显示综合电路的设计与调试。

3、掌握实际输出电路不同要求的实现方法。

4、学会光敏电阻与继电器的使用。

二、实验内容及要求输出8路彩灯(八种状态)实现以下功能:①通过一个外部开关控制彩灯左移和右移;②亮点移动一位即可;③彩灯亮点移动时间间隔取1秒。

三、工作原理CD4040是12位异步二进制计数器,它仅有2个输入端,即时钟输入端CP和清零端CR。

输出端为Q1-Q12.当清零端CR为高电平时,计数器输出全被清零;当清零端CR为低电平时,在CP脉冲的下降沿完成计数。

74LSl38是3线-8线译码器,具有3个地址输入端A2、A1、A0和3个选通端S1、S2、S3以及8个译码器输出端Y0~Y1。

用555定时器组成多谐振荡器,输出频率为f=101Hz。

由CD4040分频后,高3位Q12、Q11、Q10的输出分别接在74LSl38译码器的A2、A1、A03端。

每隔t=512/f≈5s的时间,A0。

变化一次,每隔约10 s 的时间A1变化一次,每隔15s的时间A2变化一次,从而使其输出端Y0~Y7驱动的发光二极管顺序循环亮与灭。

四、原件介绍1、74LS138以3线-8线译码器74LS138为例进行分析,图6-4、6-5分别为其逻辑图及引脚排列。

其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。

表6-6为74LS138功能表,也是此八路彩灯循环输出的结果。

当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。

当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

8路彩灯循环控制电路实验报告
一、实验目的
本实验旨在设计一个8路彩灯循环控制电路,通过学习电子元器件的使用和基本电路原理,掌握数字电路的设计方法和实现原理。

二、实验材料
1. 8个LED灯;
2. 8个220欧姆电阻;
3. 1个555定时器芯片;
4. 1个74HC595移位寄存器芯片;
5. 1个CD4017分频计数器芯片;
6. 面包板、导线、电源等。

三、实验原理
本实验采用了两种芯片:555定时器芯片和74HC595移位寄存器芯片。

其中,555定时器芯片用于产生时钟信号,74HC595移位寄存器芯片用于控制LED灯的亮灭。

具体原理如下:
1. 555定时器芯片
555定时器是一种集成电路,可以产生各种不同周期和占空比的方波信号。

在本实验中,我们将其配置为单稳态触发模式(Monostable Trigger),通过改变RC时间常数来调节输出脉冲宽度。

2. 74HC595移位寄存器芯片
74HC595是一种串行输入并行输出的移位寄存器,可以将串行输入的数据转换成并行输出。

在本实验中,我们将其用于控制8个LED灯的亮灭。

通过串行输入8位数据,然后将其并行输出到8个LED灯的控制端口上,即可实现8路彩灯循环控制。

3. CD4017分频计数器芯片
CD4017是一种十进制分频计数器,可以将输入的时钟信号分频为10路,并在每一路输出上依次产生高电平。

在本实验中,我们将其用于产生时钟信号,驱动555定时器芯片。

四、实验步骤
1. 将555定时器芯片插入面包板中,并连接相关电阻和电容;
2. 将74HC595移位寄存器芯片插入面包板中,并连接相关电阻和
LED灯;
3. 将CD4017分频计数器芯片插入面包板中,并连接相关电阻和555定时器芯片;
4. 连接各个芯片之间的引脚,并接入电源;
5. 通过编写程序或手动输入数据,控制LED灯的亮灭。

五、实验结果
经过调试和测试,本实验成功地设计出了一个8路彩灯循环控制电路。

当输入时钟信号后,LED灯依次亮起并循环闪烁,形成了非常漂亮的
效果。

六、实验总结
通过本次实验,我深入了解了数字电路的设计原理和实现方法。

在实
验过程中,我遇到了一些问题,如芯片引脚接错、电阻值计算错误等,但通过仔细检查和调试,最终成功解决了这些问题。

通过不断尝试和
改进,我不仅掌握了基本的电子元器件使用方法,还提高了自己的动
手能力和创新意识。

这对我的未来学习和工作都将有很大帮助。

相关文档
最新文档