杭电计组实验四
实验4DNS配置

学生学号实验课成绩
杭州电子科技大学
学生实验报告书
实验课程名称《计算机网络》
开课学院软件工程学院
指导老师姓名 ******
学生姓名 ****
学生专业班级 *********
2011 —2012 学年第二学期
实验课程名称:计算机网络
实验项目名称DNS配置实验成绩实验者## 专业班级## 实验日期年月日
第一部分:实验分析与设计
一、实验内容描述(问题域描述)
1、实验目的
掌握windows下域名解析配置(Linux选做)
2、实验要求
配置域名,使得ping 能解析到此DNS服务器的地址(如192.168.1.254)
二、实验基本原理与设计(包括实验方案设计,实验手段的确定,试验步骤等)
1、实验原理
2实验步骤
三、主要仪器设备及耗材。
杭电 操作系统 第四实践

else
return -1; /*还没有调用initDos() */
}
/*检查除0#线程外的所有其他线程是否都已运行*/
int finished(void)
{
int i;
for(i=1; i<NTCB; i++)
if(tcb[i].state != FINISHED)
return 0;
return 1;
}
/* 寻找READY状态线程的内部标示符 */
int Seeknext()
{
int i,ks;
i=current+1;
ks=0;
disable();
while(ks<NTCB)
{
if(tcb[i].state==READY)
} tcb[NTCB]; /*NTCB是系统允许的最多任务数*/
/*程的私有堆栈*/
struct int_regs
{
unsigned bp, di, si, ds, es, dx, cx, bx, ax, ip, cs, flags, off, seg;
{
union REGS regs;
struct SREGS segregs;
/* 获得 INDOS 标志的地址 */
regs.h.ah=GET_INDOS;
/* intdosx() :Turbo C的库函数,其功能是调用DOS的INT21H中断*/
semaphore mutex={1,NULL},empty={NBUF,NULL},full={0,NULL},Mutex2={1,NULL}; /*生产者消费者中的信号量*/
杭电计算机组成原理寄存器堆设计实验

杭电计算机组成原理寄存器堆设计实验计算机组成原理是计算机科学与技术的基础课程之一,它涉及到计算机的基本组成部分和原理。
在这门课程中,学生通常需要进行一系列的实验来加深对计算机组成原理的理解和应用。
其中之一是关于寄存器堆的设计实验。
寄存器堆是计算机中重要的组成部分之一,它用于存储、读取和写入数据。
在计算机中,数据通常被存储在寄存器中,然后进行各种操作。
因此,设计一个高效可靠的寄存器堆对于计算机的性能至关重要。
根据实验要求,我们需要设计一个8位的寄存器堆,并实现读取、写入和清零等操作。
以下是针对该实验的设计思路和实施步骤。
首先,我们需要确定寄存器堆的结构。
由于该寄存器堆为8位宽度,我们选择使用一个8x8的存储单元阵列。
每个存储单元都可以存储一个8位的数据。
整个寄存器堆由8个存储单元组成,每个存储单元对应一个地址,即0~7接下来,我们需要设计寄存器堆的读写电路。
对于读操作,我们需要通过地址线来选择要读取的存储单元,并将其输出到数据线。
对于写操作,我们同样需要通过地址线来选择要写入的存储单元,并将数据线上的数据写入该存储单元。
为了实现这些操作,我们需要使用多路选择器和数据解码器。
在设计中,我们还需要考虑到时钟信号的输入,以确保读写操作在时钟的上升沿或下降沿进行。
此外,我们还需要添加清零功能,以便将寄存器堆的值重置为零。
为实现清零功能,我们可以将一个额外的输入线与所有存储单元的清零输入连接。
在实施阶段,我们需要使用Verilog或其他硬件描述语言来实现设计。
在代码中,我们首先声明一个8位宽的存储单元阵列作为寄存器堆的基本组成部分。
然后,我们定义读写电路,包括地址线、数据线、多路选择器和数据解码器。
最后,我们添加时钟信号和清零功能。
在完成设计后,我们可以通过仿真工具进行验证。
通过输入不同的数据和地址,观察输出结果是否符合预期。
如果存在问题,我们可以进行调试并进行必要的修改。
一旦仿真结果正确无误,我们就可以开始进行硬件实验了。
杭电-数字逻辑电路实验大作业-四路智能抢答器

数字逻辑电路实验大作业四路智能抢答器学院:通信工程学院专业:xxxxx班级:1308xxxx学号:13xxxxxx上课时间:周一3,4,5节座位号:xxx指导老师:xxxxx姓名:xx手机号:xxxxxx一、 项目概况在主持人发出抢答命令之后,四只队伍可以同时抢答,如果有一支队伍抢答成功,立马封锁输入端口以禁止其他队伍抢答,并且抢到机会的队伍共阴极显示器显示其队伍号码。
即,做一个四路智能抢答器。
1 2四路智能抢答器大概框图1、 系统简介:通过优先编码器,寄存器和译码器实现一个4路智能选择器。
2、 系统框图,如下图四路智能抢答器详细框图3、各模块具体设计:①优先编码电路模块(74148芯片):1,2,3,4分别代表队伍1,队伍2,队伍3,队伍4。
假如队伍1抢答,则1234输入序列为0111,假如是队伍2抢答则输入1011,假如是队伍3抢答,则输入1101,假如是队伍4抢答,则对应输入1110。
②锁存器模块(74279芯片)AO,A1,A2为优先编码器的三个输出,根据锁存器真值表可以如上图去连接。
③译码器模块(7448芯片)7448为译码器,其输入A,B,C,D分别对应锁存器的输出Q2,Q3,和地,根据真值表,译码器可以将锁存器传输进来的序列翻译成相应的序列。
总体实验电路图:4、仿真波形:例如:队伍1抢答,输入序列0111,输出序列0110000,根据7448真值表可知,0110000对应的十进制数为1,仿真成功!例如:队伍3抢答,输入序列1101,输出序列1111001,根据7448真值表可知,1111001对应的十进制数为3,仿真成功!三、参考资料:1.74148中文资料:2、74279中文资料:3、7448芯片中文资料:真值表:4、数字电子技术基础(第二版)科学出版社四、实验过程遇到的问题及解决方案:这次试验在电路设计方面问题不是很大,但是在软件使用上出现了一些问题,先是把工具栏关掉导致无法快速的绘制实验电路图,然后后来绘制仿真波形的时候又不慎把输入信号序列快捷方式关闭,导致后面的实验进程比较缓慢。
杭电计算机组成原理实验报告.doc

杭电计算机组成原理实验报告篇一:杭电计组实验报告9计组实验九老师:包健一、源代码测试模块代码:moduleTest_Top;// Inputsreginclk;regmem_clk;regrst;reg [3:0] SW;// Outputswire [7:0] LED;// Instantiate the Unit Under TestTop uut ,.mem_clk,.rst,.LED,.SW);reg [2:0] i;initial begin// Initialize Inputsinclk = 0;mem_clk = 0;rst = 0;SW = 0;i=0;// Wait 100 ns for global reset to finish #100;rst = 1;#100;rst =0 ;#100;foreverbegin#100;mem_clk=~mem_clk;i=i+1;ifinclk=~inclk;endendendmodule顶层模块代码:moduleMy_I_CPU;wireclk_n = ~clk;wire[31:0] codes;Inst_Fetch1 inst_fetch,.clk,.Inst_codes);wire[5:0] OP;wire[5:0] func;wire[2:0] ALU_OP;wirerd_rt_s;wireimm_s;wirert_imm_s;wirealu_mem_s;wireWrite_Reg;wireMem_Write;wire [15:0] imm;wire [31:0] imm_data ;assign imm_data = ?{{16{imm[15]}},imm}:{{16{1b0}},imm}; assign OP =codes[31:26];assignfunc = codes[5:0];assignimm = codes[15:0]; OP_Decoderop_decoder,//input.func,//input.ALU_OP,.rd_rt_s,.imm_s,.rt_imm_s,.alu_mem_s,.Write_Reg,.Mem_Write);wire[4:0] rs;wire[4:0] rt;wire[4:0] rd;assigs = codes[25:21];assigt = codes[20:16];assigd = codes[15:11];wire[4:0] W_Addr;assignW_Addr=?rt:rd;wire [31:0]W_Data;wire [31:0]R_Data_A;wire [31:0]R_Data_B; RegisterHeapregister,.R_Addr_B,.W_Addr,.Write_Reg,.Reset,.Clk,.W_Data,.R_Data_A,.R_Data_B);wire [31:0]ALU_A;wire [31:0]ALU_B;assign ALU_A = R_Data_A;assign ALU_B = ?imm_data:R_Data_B;ALU alu,.A,.B,.F,.ZF,.OF);Data_Memdata_mem , // input clka.wea, // input [0 : 0] wea .addra, // input [5 : 0] addra .dina, // input [31 : 0] dina .douta // output [31 : 0] douta);assignW_Data = ?M_R_Data:ALU_F; endmodule二、仿真波形三、电路图四、引脚配置篇二:杭电计算机组成原理取指令与指令译码实验7杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理姓名实验项目:取指令与指令译码实验班级指导教师:学号:实验位置:日期:篇三:杭电计算机组成原理多功能ALU设计实验3杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理姓名:实验项目:多功能ALU设计实验班级:指导教师:学号:实验位置:日期:2015年4月29日。
杭电自动化单片机实验报告

杭电自动化单片机实验报告单片机原理与应用及 C51程序设计实验报告实验名称:单片机技术实验实验一继电器控制输出实验一、实验目的1.掌握STC12C5A16S2单片机的最基本电路的设计;2.了解单片机I/O端口的使用方法;3.了解继电器和蜂鸣器控制电路以及小电压控制大电压的方法。
二、实验要求1.利用STC12C5A16S2单片机的P1.2、P1.3口作按钮S9和S10输入,P1.0和P1.1口作开关量输出,并分别控制一个5V的继电器和蜂鸣器。
2.当S9闭合时,P1.0控制继电器闭合并控制灯泡闪亮;当S9断开时,继电器触电断开,灯泡不亮;3.当S10闭合时,P1.1控制蜂鸣器闭合并发出声音;当S10断开时,蜂鸣器不响。
三、电路四、原理说明Q1、Q2为9012三极管即PNP型,低电平导通,当S9或S10按下时,相应的IO口拉低,当P1.0或P1.1赋0时即可控制继电器的吸合活着蜂鸣器的发声。
五、程序代码#includesbit L1=P1^1;sbit L2=P1^2;sbit L3=P1^3;sbit L0=P1^0;//定义位变量void delay(){int i,j;for(i=0;i<250;i++)for(j=0;j<250;j++);//利用系统时钟,定义延时函数}void main (){int n=20;while(1) //不断循环检测{if(L2==0) //判断S9输入{while(n--){L0=0;delay();L0=1;delay(); //灯泡以2*delay为周期闪亮}n=20;}if(L3==0) //判断S10闭合{while(n--){L1=0;delay();delay(); //蜂鸣器以2*delay为周期发声}n=20;}}}实验二 LED轮换点亮实验一、实验目的1.掌握STC12C5A16S2单片机的I/O电路设计;2.学习SN74HC573数据锁存输出方法。
杭电自动化专业计算机控制系统实验报告

实验一、常规PID控制算法仿真仿真框图如下实验参数:shiyanpid Ts=0.1s,b为班号1~5,x为学号后2位,1~45实验要求:(1)画Simulinnk框图(2)设计或凑试PID三个参数,进行仿真(3)使稳态误差为0,且动态性能较满意仿真框图:实验分析:b=1,x=15。
比例系数Kp增大时系统动作灵敏,响应速度加快,过大会使振荡次数增加,系统趋向不稳定,这里取120。
积分环节可以消除稳态误差,Ti减小,系统振荡次数增加,这里取Ki为150。
微分环节可以改善系统动态性能,减小超调和调节时间,这里取Kd为10。
系统在2秒内达到稳态。
实验二、积分分离PID控制算法仿真实验参数:shiyanpidjffl Ts=0.1s,b为班号1~5,x为学号后2位,1~45实验要求:(1)画Simulinnk框图(2)使稳态误差为0,且动态性能较满意(3)尝试不同的积分分离的阈值(比如ε=0,0.1,0.2,……,0.9,1),观察阶跃响应,并确定最佳的阈值实验框图:翻译后Switch模块的说明:如果2输入满足规则,则1通道通过,否则3输入通过。
输入被标号。
1输入通过规则是输入2(偏差e)大于或等于阀值。
第一三输入为数据输入,第二输入为控制输入。
原理:|e(k)|<=ε,ki起作用|e(k)|>ε,ki不起作用,由于阶跃输入,(treshhold )ε=0.1,0.2,……,0.9,1。
由于参数原因去kp=50,ki=kd=0时,曲线最好为了体现ε的作用,积分值不取0,改为Ki=10取不同ε后的曲线ε=0.1ε=0.5ε=1分析:ε=0.1时曲线最好,ε过大起不到积分分离的作用,比如ε=1,总会存在积分作用,ε过小可能是控制不能跳出积分分离的区域,从而只存在PD作用,长时间存在静差。
实验三、不完全微分PID控制算法仿真1、不完全微分PID控制器的阶跃响应实验参数:Shiyanpidbwqwfstep Ts=0.1s,仿真时间设为10s,5s,3s P=1 I=1 D=1滤波器参数a=0.1,0.2,……,0.8,1.2,实验框图:框图1:积分输出:微分输出:可见微分只在第一个单位时间有相应,而且较大框图2:a=0.1时a=0.5时:a=1时:分析:引入惯性环节后,对微分环节对阶跃响应有明显的改善作用。
杭电仪表实验指导书修改版

自动化仪表实训装置实训指导书杭州言实科技有限公司2009.11目录一、前言 (3)二、实验装置介绍 (4)(一)、实验装置组成 (4)(二)、实验装置工艺图 (6)(三)、电气信号表 (6)(四)、实验面板图 (8)(五)、HDU300型仪表实训装置的安全保护体系 (12)三、传感器介绍 (14)(一)、压力差压变送器 (14)(二)、流量计与液位计 (18)(三)、可控硅调压模块 (21)(四)、电动调节阀与气动调节阀 (22)(五)、变频器 (23)(六)、可调恒压恒流源 (23)四、仪表操作 (24)(一)、智能调节仪AI519、AI719 (24)(二)、智能积算仪AI708 (35)(三)、智能巡检仪AI704 (47)(四)、闪光报警仪AI302M (56)(五)、无纸记录仪MC200 (58)五、系统主题实验 (62)实验一、水箱液位定值控制实验 (62)实验二、管道流量定值控制实验 (72)实验三、管道压力定值控制实验 (82)实验四、加热水箱温度定值控制实验 (92)实验五、水箱液位与管道流量串级控制实验 (100)实验六、管式电阻炉温度定值控制实验 (108)实验七、孔板流量定值控制实验 (116)实验八、加热水温与流量串级控制实验 (125)实验九、无纸记录仪实验 (134)实验十、闪光报警仪实验 (139)实验十一、热电阻热电偶温度变送实验 (145)实验十二、霍尔转速测量实验 (152)实验十三、超声波液位计实验 (158)第一部分产品使用说明一、前言职业教育的根本是培养有较强实际动手能力和职业精神的技能型人才,而实训设备是培养这种能力的关键环节。
传统的实验设备更多是验证实验原理,缺乏对学生实际动手能力的培养,更无法实现生产现场的模拟,故障的发现,分析,处理能力等综合素质的培养。
为了实现职业技术人才的培养,必须建立现代化的实训基地,具有现代工厂情景的实训设备。
本仪表自动化实训装置把热工参数检测、变送处理、信号隔离、现场防爆、传感器仪表校验、自动化控制,数据通讯采集处理有机的糅合在一起,把理论知识与实际应用紧密的对接起来,使学生学到的知识通过本实训装置的实际应用得到检验、深化,同时加强了学生的实际动手能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
0000_0003
$00011
7FFF_FFFF
7FFF_FFFF
$00111
7FFF_FFFF
7FFF_FFFF
$01111
0000_0000
0000_0000
$11111
000ቤተ መጻሕፍቲ ባይዱ_0003
0000_0003
$10000
7FFF_FFFF
7FFF_FFFF
$11000
7FFF_FFFF
input [4:0] W_Addr;
input [31:0] W_Data;
input Write_Reg;
input Clk;
input Reset;
output [31:0] R_Data_A;
output [31:0] R_Data_B;
integer i;
reg[31:0] REG_Files[31:0];//定义寄存器
实验报告
2016年4月22日成绩:
姓名
学号
班级
专业
信息安全
课程名称
《计算机组成原理课程设计》
任课老师
曾虹
指导老师
曾虹
机位号
实验序号
实验名称
寄存器堆
实验时间
2016、4、22
实验地点
1教南
实验设备号
一、实验程序源代码
module Reg(LED,RW_SW,AB,Reg_Addr_A,Reg_Addr_B,Write_Reg,clk,rst);
NET "Add[3]" LOC = "V8";
NET "Add[2]" LOC = "U8";
NET "Add[1]" LOC = "N8";
NET "Add[0]" LOC = "M8";
NET "WR" LOC = "V9";
NET "C[1]" LOC = "T9";
NET "C[0]" LOC = "T10";
wire[31:0] W_Data2 = 32'h0000000A;
wire[31:0] W_Data3 = 32'h00000006;
wire[31:0] W_Data4 = 32'h00000003;
wire[31:0] A = REG_];
wire[31:0] B = REG_];
integer i;
7FFF_FFFF
修改后得寄存器模块:
‘time scale 1ns/1ps
Moudle Register(R_Addr_A,R_Addr_B,R_Data_A,R_Data_B,W_Addr,W_Data,Write_Reg,Clk,Reset);
input [4:0] R_Addr_A;
input [4:0] R_Addr_B;
assign R_Data_A=REG_Files[R_Addr_A];
assign R_Data_B=REG_Files[R_Addr_B];
always (posedge Clk or posedge Reset)
begin
if(Reset)//清零
begin
for(i=0;i<32;i++)
REG_Files[i]<=0;
else
begin
if(Write_Reg)
begin
if(AB)
case(RW_SW)
2'b00 : REG_]=W_Data1;
2'b01 : REG_]=W_Data2;
2'b10 : REG_]=W_Data3;
2'b11 : REG_]=W_Data4;
endcase
else
case(RW_SW)
endcase
else
case(RW_SW)
2'b00 : LED = B[7:0];
2'b01 : LED = B[15:8];
2'b10 : LED = B[23:16];
2'b11 : LED = B[31:24];
default : LED = B[7:0];
endcase
if(rst)
for(i = 0; i < 32; i = i + 1) REG_File[i] = 0;
NET "LED[3]" LOC = "V15";
NET "LED[2]" LOC = "U15";
NET "LED[1]" LOC = "V16";
NET "LED[0]" LOC = "U16";
五、思考与探索
寄存器地址
写入数据
读出数据
$00000
0000_0000
0000_0000
$00001
always (posedge clk or posedge rst)
begin
if(AB)
case(RW_SW)
2'b00 : LED=A[7:0];
2'b01 : LED=A[15:8];
2'b10 : LED=A[23:16];
2'b11 : LED=A[31:24];
default : LED=A[7:0];
end
else
begin
if(Write_Reg&&(W_Addr!=0))
begin
REG_Files[W_Addr]<=W_Data;
end
end
end
Endmoudle
(3)、读操作就是时钟得下降沿时候执行得,写操作就是时钟上升沿执行得
(4)、通过实验深入理解了寄存器得工作过程,32位数据得显示,参考上次实验得分组显示法,将32位分为4组,每组8位。这次在写配置文件时,特意关注了引脚顺序。清零信号与clk时钟信号在需要清零时,同时按住才会将清零信号输入进去。
NET "clk" LOC = "C9";
NET "RS" LOC = "D9";
NET "AB" LOC = "A8";
NET "LED[7]" LOC = "T11";
NET "LED[6]" LOC = "R11";
NET "LED[5]" LOC = "N11";
NET "LED[4]" LOC = "M11";
2'b00 : REG_]=W_Data1;
2'b01 : REG_]=W_Data2;
2'b10 : REG_]=W_Data3;
2'b11 : REG_]=W_Data4;
endcase
end
end
end
endmodule
二、仿真波形
三、电路图
四、引脚配置(约束文件)
NET "Add[4]" LOC = "T5";
input[4:0] Reg_Addr_A,Reg_Addr_B;
input Write_Reg;
input[1:0] RW_SW;
input clk;
input rst;
output[7:0] LED;
input AB;
reg[31:0]REG_];
reg[7:0] LED;
wire[31:0] W_Data1 = 32'h00000001;