定时控制器逻辑电路设计

定时控制器逻辑电路设计
定时控制器逻辑电路设计

一概述

为了能使仪器在特定的时间内工作,通常需要人在场干预才能完成。本课题设计的定时器,就是能使你不在时,仪器也能按时打开和关闭。例如你想用录音机、录像机录下某一时间断的节目,而这一段时间你又有其他事要做,不在家或机器旁边,你就可以实现预置一下定时器。在几点几分准时打开机器,到某时某刻关掉机器。数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

定时控制器由供电单元、数字钟单元、定时单元以及控制输出单元等几部分组成.如图1所示为定时控制器系统框图。

图1

二.设计任务和要求

设计一个带数组电子钟的定时控制器逻辑电路,具体任务要求如下:

1.可设定定时启动(开始)时间与定时结束(判定)时间

2.定时开始,指示灯亮;定时结束,指示灯灭

3.定时范围可以选择

4.具有电子钟功能,显示为四位数

三.电路设计

数字钟一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。这些都是数字电路中应用最广的基本电路。石英晶体振荡器产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波秒信号。秒信号送入计数器进行计时,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显示电路与“秒”相同,“时”的显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果结果由六位数码管显示。

3.1石英晶体振荡器

振荡器是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间冲。

振荡器振荡频率的精度与稳定度基本上决定了钟的准确度。

振荡器是由石英晶体,微调电容与集成反相器等元件构成。石英晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

图中1门、2门是反相器,1门用于振荡,2门用于缓冲整形,R

为反馈电阻,反馈电阻的作用

1

的值选取太大,会使放大器偏置不稳甚至是为反相器提供偏置,使其工作在放大状态。反馈电阻R

1

不能正常工作;R 1值太小又会使反馈网络负担加重。图中C 1是频率微调电容,一般取5-35pF 。C 2是温度特性校正电容,一般取20-40pF 。电容C 1、C 2与晶体共同构成∏形网络,以控制振荡频率,并使输入输出相移180度。

从有关手册中,可查得C 1、C 2均为30pF 。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。

石英晶体振荡器XTAL 的振荡频率选为32768Hz 。该元件专为数字钟电路而设计,其频率较低且稳定,有利于减少分频器级数,可用反相器整形而得到矩形脉冲输出。

由于CMOS 电路的输入阻抗极高,因此反馈电阻R 1可选为10M Ω。较高的反馈电阻有利于提高振荡频率的稳定性。

非门电路可选74HC00。

3.2电源电路

本系统电源,如不用实验室电源,可以采用三端稳压块获得+5v 稳压输出,如图2所示

图2

3.3分频器

在数字电路中,分频器是一种可以进行频率变换的电路,其输入、输出信号是频率不同的脉冲

序列。输入、输出信号频率的比值称为分频比。例如,2分频器的输出信号频率是输入信号频率的

2

1,

8分频器的输出信号频率是输入信号频率的

8

1。通常实现分频器的电路是计数器电路,一般采用多

级2进制计数器来实现。例如,将32768Hz 的振荡信号分频为1Hz 的分频倍数为32768(15

2

),即

实现该分频功能的计数器相当于15级2进制计数器。常用的2进制计数器有74HC393等。

本次课程设计中采用CD4060来构成分频电路。CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。CD4060计数器为14级2进制计数器,可以将32768Hz 的信号分频为2Hz ,其内部框图如图所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。

3.4 计数器

3.4.1同步十进制加法计数器74160功能介绍

同步十进制加法计数器74160电路如图1所示,此电路增加了预置数、保持和异步置零的功能。图1中LOAD ′为预置数控制端,RCO 为进位输出端,CLR 为异步置零端,ENP 和ENT 为工作状态控制端。CLK 为脉冲控制端,Q A 、Q B 、Q C 、Q D 为输出控制端。

3.4.2 六十进制计数

秒计数器的电路形式很过,一般都是由一级十进制计数器和一级六进制计数器组成,是用两块中规模集成电路74LS160按反馈置零法串接而成。秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为“分”计数器的输入信号。

当第一个脉冲来到时两个数显同步置零,显示00状态,即两个同步十进制加法计数器的输出分别为0000和0000,当第二个脉冲来到时个为脉冲作用下第一个同步十进制加法计数器的输出变为0001,而第二个同步十进制加法计数器的工作状态控制端接的为低电平,所以不工作,继续保持为0000状态不变,当第一个同步十进制加法计数器输入第九个脉冲后,RCO 进位输出端会置1,同时第二个同步十进制加法计数器的工作状态控制端接的为高电平,所以开始工作,输出由0000变为0001,然

后保持至第一个同步十进制加法计数器的输出再次变为1001。当第一个同步十进制加法计数器的输出为0101,同时第二个同步十进制加法计数器的输出为1001时,两个同步十进制加法计数器的预置数控制端被同时置为0,即两个同步十进制加法计数器的输出均变为0000,从而完成六十进制计数, 电路如图3

图3

3.4.3 二十四进制计数

二十四进制小时计数器,是用两片74LS160构成的。也可用两块中规模集成电路74LS160和与非门构成。

当第一个脉冲来到时两个数显同步置零,显示00状态,即两个同步十进制加法计数器的输出分别为0000和0000,当第二个脉冲来到时个为脉冲作用下第一个同步十进制加法计数器的输出变为0001,而第二个同步十进制加法计数器的工作状态控制端接的为低电平,所以不工作,继续保持为0000状态不变,当第一个同步十进制加法计数器输入第九个脉冲后,RCO进位输出端会置为1,同时第二个同步十进制加法计数器的工作状态控制端接的为高电平,所以开始工作,输出由0000变为0001,然后保持至第一个同步十进制加法计数器的输出再次变为1001。当第一个同步十进制加法计数器的输出为0010,同时第二个同步十进制加法计数器的输出为0011时,两个同步十进制加法计数器的预置数控制端被同时置为0,即两个同步十进制加法计数器的输出均变为0000,从而完成二十四进制计数,电路如图4。

图4

3.5数字钟单元

他分别由秒脉冲发生器,秒、分、时计数器、译码器,显示器等组成。这里只要设计成四位显示。“分”从00至59,“时”从00至23秒可以用发光二极管显示。

3.6继电器电路

继电器的通、断受控制器输出控制,当“开始定时”设定值到达时,继电器应该接通。而当“定时结束”设定值到达时.继电器应该断开。其定时波形如图6所示。继电器的出点可接交流、直流或其他信号。

图6

3.7定时器部分

3.7.1定时器定时时间的设定

定时器定时时间的设定,可以用逻辑开关(四个一组),分别置入0或1,再加译码、显示,就知其所设定的值.例如,四位开关为“1001”,显示器即显示9。

另一个办法,用8421BCD 码拨码开关KS 系列器件,拨码开关本身可显示数字,同时输出BCD 码。例如,拨码开关置成“6”,其8421端将分别输出“0110”,并有“6”指示。

3.7.2定时器预置开关

定时器控制的功能是将数字钟的时间与预置的开、关时间进行比较,并完成相应的开关动作。 在定时预置开关电路中,有两组开关——其实定时时间开关和中止定时时间开关每组有四个开关(拨码开关)他们输出的都是BCD 码。

3.8控制部分

3.8.1控制器

控制器的任务是将计数值与设定值进行比较,若两者值相等,则输出控制脉冲,使继电器电路接通。由于定时的时间有起始时间和终止时间,所以,为了区别这两个信号,采用交叉供电方式或采用三态门进行控制。

3.8.2控制电路部分

U 8~U 9数字钟输出和定时拨码开关输出是通过异或们74LS86进行一位一位的比较,当定时开关时间到,即所有的数值全相等,在U 1474LS30与非门输出端输出一个负脉冲,使控制触发器U 1374LS112变为高电平。Q 为高电平,使得继电器RL 1和RL 2接通,定时器开始定时。RL 1的接通,使得+5V 从加入起始定时开关而转加到中止定时开关上,由于控制触发器U 13Q=1(Q=0),使定时器的定时开始指示灯亮

当运行时间到中止时间设定值时,U 14又一次输出一个负脉冲,使得控制触发器U 13翻转,Q=0 U 13

的低电平是T 1和T 2关断,RL 1继电器释放,又回到定时前的工作状态。同时Q=0又使定时结束指示灯亮

RL 2用于外界所需控制的仪器。

按下S 2,可以去掉可能预先存在的定时设定。

3.9控制电路

U 8~U 9数字钟输出和定时拨码开关输出是通过异或们74LS86进行一位一位的比较,当

定时开关时间到,即所有的数值全相等,在U 1474LS30与非门输出端输出一个负脉冲,使控制触发器U 1374LS112变为高电平。Q 为高电平,使得继电器RL 1和RL 2接通,定时器开始定时。RL1的接通,使得+5V 从加入起始定时开关而转加到中止定时开关上,由于控制触发器U 13Q=1(Q=0),使定时器的定时开始指示灯亮

当运行时间到中止时间设定值时,U 14又一次输出一个负脉冲,使得控制触发器U 13翻转,Q=0 U 13

的低电平是T 1和T 2关断,RL 1继电器释放,又回到定时前的工作状态。同时Q=0又使定时结束指示灯亮

RL 2用于外界所需控制的仪器。

3.10整体电路

“秒计数器”采用60进制计数器,是由2片74LS160和1片74LS00采用异步置0法连接而成,第一片的74LS160的十进制输出经74LS00反向后接第二片的CP,当第1片的计数到9时,C 输出高电平,此时第2片计数器CP=0,当下一个秒脉冲到达时第1片变为0,第2片变为CP 脉冲成为上升沿,第2片计数器计数为1。这样一直计数下去当计数到50以后,第2片计数器的LD 接第2片的计数器输出接了74LS00的Q 0和Q 2对应的反向输出此时为LD=0,下一个脉冲到达第1片时,第1片计数从0开始计数,当第1片计为9时,第2片计数变为0;因为下一秒第1片将给第2片了一个CP 脉冲,第2片的计数器输出接了74LS00的Q 0和Q 2对应的输出,并且第1片给第2片一因此在变为6的瞬间置零,也变为0,达到了计60的目的,这时从第2片中引出一个分脉冲作为分计数器的输入CP 脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,此计数原理与秒计数器完全相同。从分计数器输出的该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,也是有2片74LS160和1片74LS00采用清零法连接而成。24进制计数器开始计数时第一片的74LS160的十进制输出接第二片的CP,当第1片的计数到9时,十进制输出高电平,此时第2片计数器开始计数,当下一个秒脉冲到达时第1片变为0,第2片变为1,这样一直

计数下去但当计到23时,下一脉冲到达时由于时计数器的第1片的Q2和第2片的Q1接74LS00,对应的输出同时接了两片的R置零端,在要变成24的瞬间两片都变为0,实现对一天24小时的累计,这时从第二片中引出一个周脉冲作为周计数器的输入CP脉冲。

“周计数器”采用7进制计数器,用一片74LS160采用置数法连接而成。计数器从1开始计数,到7后通过74LS00输出到74LS160的LD端,重新置数为1,从而实现对一周7天的累计。

译码显示电路将“周、时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。只要接好电路图,输出的数码即可在数码管中对应显示。

定时器控制的功能是姜数字钟的时间与预置的开、关时间进行比较,并完成相应的开关动作。

在定时预置开关电路中,有两组开关——其实定时时间开关和中止定时时间开关每组有四个开关(拨码开关)他们输出的都是BCD码。U8~U9数字钟输出和定时拨码开关输出是通过异或们74LS86

进行一位一位的比较,当定时开关时间到,即所有的数值全相等,在U

14

74LS30与非门输出端输出一

个负脉冲,使控制触发器U

13

74LS112变为高电平。Q为高电平,使得继电器RL1和RL2接通,定时

器开始定时。RL

1

的接通,使得+5V从加入起始定时开关而转加到中止定时开关上,由于控制触发器

U

13

Q=1(Q=0),使定时器的定时开始指示灯亮

当运行时间到中止时间设定值时,U

14又一次输出一个负脉冲,使得控制触发器U

13

翻转,Q=0 U

13

的低电平是T

1和T

2

关断,RL

1

继电器释放,又回到定时前的工作状态。同时Q=0又使定时结束指示

灯亮

RL

2

用于外界所需控制的仪器。

按下S

2

,可以去掉可能预先存在的定时设定。

四.整机电路图

五. 实验设备及元件

1 十六进制计数器74LS160 七片

2 七段译码器74LS48 七片

3 四—2输入与非门74LS00 两片

4 二—4输入与非门74LS20 两片

5 四—2输入与门74LS08 三片

6 二—D触发器74LS74 两片

7 六反相器74LS04 一片

8 数码显示管BS201A 七个

9 14分频器CD4060 一个

10 石英晶体振荡器32768Hz 一个

11 可变电阻 2.2K 一个

12 电阻22M 一个

13 电阻10k 二个

14 电阻1k 一个

15 电解电容100uF 一个

16 电容22pF 一个

17 可变电容3—20pF 一个

18 电源5V 一个

19 三极管8050 一个

20 继电器DC-12V 一个

六.心得与体会

通过这次课程设计,我了解到了进行课程设计的方法,有关定时器的结构和基本原理。本设计通过了仿真软件的测试,或者说就是基于仿真软件设计的。成品肯定和软件上表现的有区别但是我们学会了一种解决问题的方法。本方案之前也讨论更改过很多方案。特别是在进制问题上研究了很久。连动开关的设计还是需要人力这个是需要改进的地方。但是基本的思维是不变的。通过预置数的方法来确定进制以及切换电路来更改预置数是必然的。而对于电路的成型以及其实际性能还是要通过动手才能切身体会。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

【参考借鉴】数字逻辑电路习题集.doc

第一章数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数R= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数R= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

可预置定时电路的设计

可预置定时电路课程设计报告 一.设计要求 1、设计一个可灵活预置时间的计时电路,要求具有时间显示功能,能准确预置清零。 2、设置外部操作开关,控制计时器的直接清零、启动和暂时|连续计时。 3、要求计时电路递减计时,每隔一秒,计时器减1。 4、当计时器递减时间到零(即定时时间到)时,显示器上显示00,同时发光 电报警信号。 二.设计的作用、目的 熟悉集成同步十进制加/减计数器的工作原理。掌握555定时器的工作原理、集成电路的使用方法、集成电路的引脚安排、各集成芯片的逻辑功能及使用方法。在日常生活和工作中,我们常常使用都定时控制,如交通灯定时等等等。随着电子技术的发展,控制电路的需求越来越大。可以使用使用基本可预置定时电路构成其他我们生活中应用广泛的电子设备。 三.设计的具体实现 1.系统概述 定时器由启动电路、秒脉冲发生器、预置输入电路、计数器、译码显示电路、报警电路和控制电路共7部分组成。 基本框图如下图所示: 图1

其中译码电路和控制电路是系统的主要部分。计数器完成计时功能,而控制器完成计数器的直接清零、启动计数、暂时功能。通过设置开关或按键电路可以对定时时间进行预置,这部分需要编码器。通过编码后,送到计数器预置端作为计数的时间。根据题目要求这部分应采用减计数。在计数同时,还需要对所计时间进行显示,所以需要译码显示电路,显示器用LED。 对于本模块的器件选用,计数器选用74LS192进行设计。74LS192是十进制可编程同步加1减计数器,它采用8421码二—十进制编码,并具有直接清零、置数、加1减计数功能。 报警电路在实验中可以用发光二极管来代替。 2.电路分析与设计 A:器件选择 (1) 十进制可逆计数器74LS192 74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示: 图2 74LS192的引脚排列及逻辑符号 图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能表如下 输入输出 MR P3 P2 P1 P0 Q3 Q2 Q1 Q0 1 ×××××××0 0 0 0 0 0 × d c b a d c b a × ××××加计数 0 1 1

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

闪光灯逻辑控制电路的设计与制作(精)

课题四闪光灯逻辑控制电路的设计与制作 闪光灯逻辑控制电路是电子游戏、广告制作、舞台演出中最常用的逻辑控制电路,和前三个课题不同的是电路采用纯数字电路器件来实现。数字电路能用的器件有通用的中,小规模集成电路和专用的数字集成电路两种,器件的选择余地更大,设计方案较多。数字电路的设计思路与模拟电路有着根本的差别,而且只要电路逻辑设计正确,调试的工作量较小。希望通过本课题的设计与制作,使初学者能熟悉数字电路的一般设计方法。 1.设计内容和要求 设计并制作一个闪光灯控制逻辑电路,设计要求: ①红(R、黄(A、绿(G三种颜色的闪光灯在时钟信号作用下按表3—5规定的逻辑顺序转换。表中“1”表示灯亮,“0”表示灯灭。要求电路能自启动。 ②状态转换时间间隔为0.5s,设计并制作一个CP脉冲源。 表3-5闪光灯转换顺序表 2. 设计方案的选择

(1逻辑分析 三个闪光灯R、A、G作为三个输出变量,灯亮为“1”,灯灭为“0”,在时钟CP的作用下,共8个状态,其状态转换图如图3-4-1所示。 图3-4-1状态转换图 由状态转换图可知,本电路可以自启动。 设计思路:能否用一个八进制计数器,再设计一个状态转换电路,将计数器的8个输出状态依次转化为灯光控制电路的规定状态,状态转换的真值表如表3-6所示。 表3-6状态转换真值表

由真值表可得输出变量的函数表达式为 R=01 2012012Q Q Q Q Q Q Q Q Q ++ A=012012012Q Q Q Q Q Q Q Q Q ++ G=012012012Q Q Q Q Q Q Q Q Q ++ (2 设计方案的比较与选择 由上分析,,本课题总的设计方案是先设计一个八进制同步加法计数器为闪光灯逻辑控制电路提供输入变量,再设计一个状态转换电路保证闪光灯按规定顺序工作。八进制计数器根据器件来源,可以选用三片小规模集成触发器如D 触发器,JK 触发器等,也可采用中规模集成计数器如74LS160,74LS161等构成,转换电路的设计方案更多,可采用门电路,也可采用数据选择器,3线8线译码器,甚至只读存储器ROM 来实现。不同的器件对逻辑函数 的处理方式不同。本课题首先确定八进制计数器由十进制同步加法计数器 74LS160来实现,只是转换电路选用不同的器件,介绍三种设计方案供选择比较。 3.单元电路的设计 (1八进制计数器的设计

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

篮球30秒定时控制电路设计

一:设计题目:篮球30秒定时控制电路设计 (优) 二:设计任务和基本要求: 1. 有30s计时功能; 2. 设置外部操作开关,具有对计时器清零,启动和暂停/连续等功能。 3. 计时器为30s递减计时器,其计时时间间隔为1s. 4. 计时器递减计时到零时,数码显示器不能灭灯,同时发出报警信号。 三:设计步骤: 1. 设计过程: (1)根据设计要求,得到篮球30秒计时器总体方案框 图 秒脉冲发生器 CLK 计数器 显示译码电路 报警电路 K8 K7 控制电路 设计思路:篮球30秒计时器包括秒脉冲发生器,计数器,译码显示器,辅助时序控制电路和报警电路等。其中计数器和控制电路事系统的主要部分。计数器完成30秒计时功能,控制电路完成计数器的启动、暂停/连续计数。为保证系统的设计要求,在设计控制电路时,应正确处理各个信号间的时序关系。当启动开关K7闭合(低电平)时,计数器完成置数功能,译码显示电路显示30秒字样;当启动开关K7断开(高电平)、K8断开(高电平)时,计数器开始计数,当K8为闭合(低电平)时,计数器停止计数,K8再断开(高电平)时,继续累计技术。计数器递减到零时,发出声光报警信号L=1(正常计数时L=0)。 (2)篮球30秒计时器的顶层电路逻辑图: 本实验包括两个模块:

a:IC17模块完成计数器计时功能;b:TIM模块完成始终脉冲发生信号功能。 (3).根据功能模块的划分,利用ABEL_HDL语言完成设计。 篮球30秒计时器顶层电路中的IC17模块的程序设计程序为: MODULE IC17 TITLE '30S' CLK,S,LD PIN; Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0 PIN ISTYPE'REG'; L PIN ISTYPE'COM'; Counth=[Q7,Q6,Q5,Q4]; Countl=[Q3,Q2,Q1,Q0]; EQUATIONS Counth.CLK=CLK; Countl.CLK=CLK; L=(Counth==0)&(Countl==0); ” 30秒倒计时 WHEN((LD==1)&(S==1)&(Countl==0)& (Counth==0))THEN{Countl:=0;Counth:=0;} ELSE WHEN((LD==1)&(S==1)&(Countl>0)) THEN{Countl:=(Countl.FB-1);Counth:=Counth.FB;}

组合逻辑电路例题终版.doc

【例题1】设计一个投票表决器,三个投票人分别为A 、B 、C ,按规定只要二人以上同意才能通过。 解:设投同意票为“1”表示,不同意票为“0”;输出为“1”表示通过,为“0”表示不通过。 第一步:由逻辑关系列出真值表 第二步:由真值表写出逻辑函数表达式 第三步:化简逻辑函数表达式 ◆用卡诺图化简 ◆用代数法化简如下 第四步 由化简后的逻辑表达式画出逻辑电路图 7 653111*********m m m m ABC C AB C B A BC A F +++=真值表 ∑= ) 7,6,5,3(m F AB BC AC AB BC AC AB BC AC F ??=++=++=AB BC AC AB BC AC AB BC AC A C C B AC C AB B A C B A B A A C AB A B B C C AB C B A BC C AB C B A A A BC ABC C AB C B A BC A F ??=++=++=++=++=+=+++=++=+++=+++=)()()()()(

F高电平时,三极管导通,灯亮;低电平时三极管截止,灯灭。 【例题2】某汽车驾驶员培训班进行结业考试。有三名评判员,其中A为主评判员,B、C 为副评判员。评判时按少数服从多数原则,但若主评判认为合格,也可通过。试用与非门构成逻辑电路实现评判的规定。 解:(1)根据逻辑设计要求,设定三个输入变量A、B、C,并规定如下:主评判A意见:A=1认为合格;A=0认为不合格 副评判B意见:B=1认为合格;B=0认为不合格 副评判C意见:C=1认为合格;C=0认为不合格 设输出变量Y:Y=1认为通过;Y=0认为不通过 (2)列真值表 (3)根据真值表写出逻辑表达式 (4)用卡诺图化简 (5)画出逻辑电路图 【例题3】有一火灾报警系统,设有烟感、温感、紫外光感三种不同类型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计产生报警控制信号的电路。 [解](1)根据逻辑要求设置逻辑输入、输出变量。 用A、B、C分别代表烟感、温感、紫外光感三种探测器的探测输出信号,作为报警控制电路的输入变量,以“1”表示高电平,“0”表示低电平,高电平表示有火灾报警,低电平表示无火灾报警; F为报警控制电路的输出,以“1”表示高电平,“0”表示低电平,同样高电平表示有火灾报警,低电平表示无火灾报警。 真值表 ∑ = + + + + = + + + + = )7,6,5,4,3( 7 6 5 4 3 m m m m m m ABC C AB C B A C B A BC A Y A BC A BC A BC Y ? = + = + =

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

定时控制器逻辑电路设计62777

一概述 为了能使仪器在特定的时间内工作,通常需要人在场干预才能完成。本课题设计的定时器,就是能使你不在时,仪器也能按时打开和关闭。例如你想用录音机、录像机录下某一时间断的节目,而这一段时间你又有其他事要做,不在家或机器旁边,你就可以实现预置一下定时器。在几点几分准时打开机器,到某时某刻关掉机器。数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。 定时控制器由供电单元、数字钟单元、定时单元以及控制输出单元等几部分组成.如图1所示为定时控制器系统框图。 图1

二.设计任务和要求 设计一个带数组电子钟的定时控制器逻辑电路,具体任务要求如下: 1.可设定定时启动(开始)时间与定时结束(判定)时间 2.定时开始,指示灯亮;定时结束,指示灯灭 3.定时范围可以选择 4.具有电子钟功能,显示为四位数 三.电路设计 数字钟一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。这些都是数字电路中应用最广的基本电路。石英晶体振荡器产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波秒信号。秒信号送入计数器进行计时,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显示电路与“秒”相同,“时”的显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果结果由六位数码管显示。 3.1石英晶体振荡器 振荡器是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间冲。 振荡器振荡频率的精度与稳定度基本上决定了钟的准确度。 振荡器是由石英晶体,微调电容与集成反相器等元件构成。石英晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”)(1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1 (3)逻辑电路: (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和 11表示A、B、AB和O四种血型。Y为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图:

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

交通灯定时控制系统的设计、制作

交通灯定时控制系统实验报告 一、课题名称: 交通灯定时控制系统的设计、制作 二、内容摘要: 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 三、设计内容及要求: 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s 内任意设定。 四、系统方案设计: 1、分析系统的逻辑功能,画出其框图; 交通灯定时控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: T Y :表示甲车道或乙车道从绿灯亮切换到黄灯亮的信号,在T L =1过后25s 时T Y =1,表示灯切换,在其他时候T Y =0。 T L :表示甲车道或乙车道从红灯亮切换到绿灯亮的信号,在T Y =1过后5s 时T L =1,表示灯切换,在其他时候T L =0。 且每个信号都为每30s 循环一次,因此我选用30s 循环的定时器。 T L -T Y = 5s T L T Y 图1 交通灯控制系统原理框图 2、逻辑设计,对各部分连接处进行定义,建立各部分之间的联系; 首先,由定时器组成30s 循环,在25s 时输出切换信号T Y =1,在30s 时输出T L =1,如此构成一个定时器的输出部分。接下来,由T L 、T Y 提供给控制器信号,在使其在T L 时刻进 秒脉冲 发生器 控制器 译码器 定时器 甲车道信号灯 乙车道信号灯

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

《数字逻辑电路》试题及答案

、填空题( 1-5小题每空 1分, 6-10 小题每空 2分,共20分) 1.(16.25) 10 = ( _____________________ ) 2 = ( ) 8 = ( ) 16 2.三态门输出的三种状态分别为:、和。 3.基本 RS 触发器的约束条件是______________ 。 4.多谐振荡器是一种波形_______ 电路,它没有稳态,只有两个_______ 。 5.把 JK 触发器改成 T 触发器的方法是____________ 。 6.F(A,B,C,D) A (B C (D E) ) 的对偶式为________________________________ 7.十进制数( -12 )的补码形式为_____________________ 。 8.某信号采集系统要求一片 A/D 转换器集成芯片在 1S内对 16 个热电偶的输出电压分时进行 A/D 转换。已知热电偶输出电压范围为 0~0.025V(对应 0~450 o C温度范围),需要分辨的温度为 0.1 o C,试问选用位的 A/D 转换器。 9.RAM 存储器地址线 4 条,数据线 8 条,其存储容量为_______ 。 10.写出下图有 ROM 构成的组合逻辑函数式 Y2= ____________________ 。 二、逻辑函数化简证明题(共 3题,共 20 分) 1.(6 分)用公式法化简下面逻辑函数为最简与或式F(A,B,C) (ABC) (AB ) 2.(6 分)证明下面逻辑恒等式,方法不限。(A C )(B D)(B D ) AB BC 3.(8 分)用卡诺图法求下面逻辑函数的反函数,用最简与或式表示。F(A,B,C,D) ABC ABD C D ABC ACD ACD

相关文档
最新文档