硬件描述语言VHDL语法概要

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

2021/3/24
硬件描述语言VHDL语法概要
9
§4.1 概述
五、VHDL与其它硬件描述语言的比较
行为级 RTL级 门电路级
VHDL: 具有较强的系统级抽象描述能力,适合行为级和 RTL级的描述。设计者可不必了解电路细节,所作 工作较少,效率高。但对综合器的要求高,不易控 制底层电路的生成。IEEE标准,支持广泛。
美国硅谷约有80%的 ASIC和 FPGA/CPLD已采用 HDL进 行设计。
2021/3/24
硬件描述语言VHDL语法概要
5
§4.1 概述
2、VHDL与C、C++的比较: C、C++ 代替汇编等语言 VHDL 代替原理图、逻辑状态图等
3、VHDL与电原理图描述的比较: VHDL具有较强的抽象描述能力,可进行系统
2
§4.1 概述
一、什么是VHDL?
VHDL: VHSIC (Very High Speed Integrated Circuit) Hardware Description Language 超高速集成电路硬件描述语言
2021/3/24
硬件描述语言VHDL语法概要
3
§4.1 概述
二、VHDL的历史
15
§4.1 概述
结构体的三种描述形式
Structure描述(结构化描述) 描述该设计单元的硬件结构,即该硬件是如何构成的,类似于
数字电路中的逻辑图描述。 Date Flow描述(数据流描述)
是类似于寄存器传输级的方式描述数据的传输和变换,以 规定设计中的各种寄存器形式为特征,然后在寄存器之间插 入组合逻辑。与数字电路中的真值表描述相似。 Behavior Process描述(行为描述)
Process
Combinational Process
2021/3/24
component
硬件描述语言VHDL语法概要
ports
13
2选1选择器的VHDL描述:
2021/3/24
硬件描述语言VHDL语法概要
14
§4.1 概述
VHDL语言的一些基本特点:
• VHDL语言由保留关键字组成; • 一般,VHDL语言对字母大小写不敏感;
只描述所希望电路的功能或者电路行为(输入输出间转换 的行为),而没有指明或涉及实现这些行为的硬件结构。与 数字电路中的逻辑表达式描述相似。
2021/3/24
硬件描述语言VHDL语法概要
VHDL将一个设计(元件、电路、系统) 分为:
外部(可视部分、端口) 内部(不可视部分、内部功能、算法)
2021/3/24
硬件描述语言VHDL语法概要
12
§4.1 概述
外部与内部: ports
器件或 子系统
Fra Baidu bibliotek
ports
ports
ENTITY ARCHITECTURE
Process
Sequential Process
80年代初由美国国防部在实施超高速集成电 路(VHSIC)项目时开发的。
1987年由 IEEE 协会批准为 IEEE 工业标准, 称为 IEEE1076-1987。
各EDA公司相继推出支持VHDL的设计环境。 1993年被更新为 93 标准,即IEEE1076-1993。 进一步提高抽象描述层次,扩展系统描述能力。
例外:‘ ’、“ ”所括的字符、字符串; • 每条VHDL语句由一个分号(;)结束; • VHDL语言对空格不敏感,增加可读性; • 在“--”之后的是VHDL的注释语句; • VHDL有以下描述风格:
行为描述; 数据流(寄存器传输RTL)描述; 结构化描述;
2021/3/24
硬件描述语言VHDL语法概要
2021/3/24
硬件描述语言VHDL语法概要
7
用VHDL描述的可置数16位计数器:
2021/3/24
硬件描述语言VHDL语法概要
8
§4.1 概述
2、VHDL语言可读性强,易于修改和发现错误。 3、VHDL具有丰富的仿真语句和库函数,可对
VHDL源代码进行早期功能仿真,有利于大 系统的设计与验证。 4、VHDL设计与硬件电路关系不大。 5、VHDL设计不依赖于器件,与工艺无关 。 6、移植性好。 7、VHDL体系符合TOP-DOWN和CE(并行工程)设计 思想。 8、VHDL设计效率高,产品上市时间快,成本低。 9、易于ASIC实现。
行为级别的描述。描述简洁,效率高。 VHDL描述与实现工艺无关。 电原理图描述需给出完整、具体的电路结构
图,不能进行抽象描述。描述繁杂,效率低。 电原理图描述与实现工艺有关。
2021/3/24
硬件描述语言VHDL语法概要
6
§4.1 概述
四、VHDL语言特点
1、VHDL具有强大的语言结构,系统硬件描述能 力强、设计效率高;具有较高的抽象描述能力。 如:一个可置数的16位计数器的电原理图:
2021/3/24
硬件描述语言VHDL语法概要
4
§4.1 概述
三、VHDL的作用
1、VHDL打破软、硬件的界限 传统的数字系统设计分为: 硬件设计(硬件设计人员) 软件设计(软件设计人员) VHDL是电子系统设计者和 EDA工具之间的界面。
EDA工具及 HDL的流行,使电子系统向集成化、大规模 和高速度等方向发展。
RTL: Register Translate Level
2021/3/24
硬件描述语言VHDL语法概要
10
§4.1 概述
Verilog HDL : 系统级抽象描述能力比VHDL稍差;门级开关电路描
述方面比 VHDL 强。适合 RTL级和门电路级的描述。 设计者需要了解电路细节,所作工作较多。IEEE标准, 支持广泛。
ABEL、PALASM、AHDL(Altera HDL):
系统级抽象描述能力差,一般作门级 电路描述。要 求设计者对电路细节有详细的了解。对综合器的性能 要求低,易于控制电路资源。支持少。
2021/3/24
硬件描述语言VHDL语法概要
11
§4.1 概述
六、VHDL设计简述
VHDL主要用于描述数字系统的结构、行 为、功能和接口。
Chapter4 硬件描述语言 VHDL
语法概要
2021/3/24
硬件描述语言VHDL语法概要
1
Chapter4 硬件描述语言VHDL语法概 要
§4.1 概述
§4.2 VHDL程序基本结构
§4.3 VHDL语言要素
§4.4 VHDL语言的基本描述语句
§4.5 不可综合性语句
2021/3/24
硬件描述语言VHDL语法概要
相关文档
最新文档