多功能数字电子钟设计文档

合集下载

多功能数字电子钟的方案设计书(郑思贺)

多功能数字电子钟的方案设计书(郑思贺)

数字电子钟的设计一、概述数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由以下几部分组成。

如图1所示多功能数字钟的组成框图。

图1 数字钟的组成框图二、秒脉冲发生器1. 晶体振荡器a:晶体振器构成晶体振荡器电路给数字电子钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

如图2所示晶体振荡电路框图。

图2 晶体振荡电路框图b:晶体振荡器电路原理在电路中,非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。

输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。

电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。

由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

晶体XTAL1的频率选为32768Hz。

其中C1的值取5~20 pF,C2为30pF。

C1作为校正电容可以对温度进行补偿,以提高频率准确度和稳定度。

由于电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。

较高的反馈电阻有利于提高振荡频率的稳定性。

2. 分频器电路分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。

分频器实际上也就是计数器,为此电路输送一秒脉冲。

3. 秒脉冲发生器原理CD4060的10、11脚之间并接石英晶体和反馈电阻与其内部的反相器组成一个石英晶体振荡器。

电路产生的32768Hz的信号经过内部十四级分频后由3脚(Q14其分频系数为16384)输出脉冲频率为2Hz,再通过一个二分频器分频就得到了1Hz的时钟信号,也就是1S;CD4027为双JK触发器,其内部含有两个独立的JK触发器,其中16脚6脚(2J)5脚(2K)接电源,4脚(R2)7脚(S2)接地,3脚(CP2)输入2Hz脉冲信号,分频后的1Hz脉冲由1脚(Q2)输出。

多功能数字时钟设计说明书

多功能数字时钟设计说明书

电子技术综合训练设计报告题目:多功能电子钟的设计姓名:学号:班级:同组成员:指导教师:李恒杰日期:2011年12月30日摘要 (3)一、设计任务和要求 (4)1.1设计任务 (4)1.2基本要求: (4)1.3扩展功能: (4)二、系统设计: (4)2.1系统基本要求 (4)2.2系统方案设计 (4)2.2.1总体设计原理方框图 (4)2.2.2系统工作原理 (5)2.3系统的单元电路设计 (6)2.3.1秒脉冲电路 (6)2.3.2在分和秒之间显示“:”的设计 (8)2.3.3.译码驱动及显示单元电路设计 (9)2.3.4 校时单元电路设计 (11)2.3.5整点报时的设计 (12)三.系统仿真 (13)总仿真图12所示 (13)四.电路安装、调试与测试 (15)4.1电路安装焊接 (15)4.2电路的调试 (16)4.2.1数码管的调试 (16)4.2.2各个部分的调试。

(16)4.2.3总电路的调试 (18)4.3 电路测试 (18)4.3.1功能测试 (18)4.3.2性能测试 (18)五、结论 (18)六、参考文献 (19)附录: (22)1. 用到器件的管脚图....................................................................... 错误!未定义书签。

232.电路调试的实物图 (24)电子钟是采用数字电路实现对时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,因此,研究数字钟及扩大其应用,有着非常现实的意义。

这份设计报告主要介绍了一种以石英晶体振荡器为脉冲信号,以74ls161为主体,以数码管为显示器件的数字钟电路的设计。

电子钟用石英晶体振荡器等组成的多谐振荡器为脉冲信号,频率为1Hz。

其主体分两个部分,计时电路和校时电路。

计时电路以数字形式显示时、分、秒,其中秒和分为60进制,时为24进制,校时电路可对分和时进行校时,当达到整点时会自动报时关键词:数字钟、校时、石英晶体振荡器、整点报时一、设计任务和要求1.1设计任务设计一个多功能电子钟并制作完成1.2基本要求:1、数字形式显示时、分、秒,在分和秒之间显示“:”,并按1次/秒的速度闪烁;2、每日以24小时为一个记时周期;3、有校正功能,能够在任何时刻对电子钟进行方便的校正;4、电源:220V/50HZ的工频交流电供电;①5、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计
第一步实现多功能数字钟的基本功能,包括显示当前时间和设置定时
功能。

为了实现这一功能,我们需要使用一个定时器,以实现每秒钟更新
一次时间并显示在LCD屏上,同时实现定时功能。

第二步用一个按钮来切换显示当前时间和定时时间。

为了实现这一功能,我们需要在LCD屏上显示当前时间和定时时间,当按钮按下时,可以
改变当前时间和定时时间的显示。

第三步加入计时功能,使用者可以设置一个计时时间,当计时结束时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要使用一个计数器,计算出时间差,当到达设定的计时时间时,发出报警声或者显示一个
提醒。

第四步增加闹钟功能,使用者可以设置一个闹钟时间,当达到闹钟时
间时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要在指定
的时间段内,获取当前时间,通过一个实时检查程序,来实现闹钟功能,
当到达时间时,发出报警声或者显示一个提醒。

第五步加入天气预报功能,使用者可以查询当前城市的天气情况,以
及未来三天的天气预报。

为了实现这一功能,我们需要使用一个API来获
取天气情况,并将获取的信息在LCD屏上显示出来,方便使用者查询。

电子技术课程设计报告---多功能数字时钟

电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。

2.可以24小时制或12小时制。

3.具有校时功能。

可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4.整点能自动报时,要求报时声响四低一高,最后一响为整点。

5.走时精度高于普通机械时钟〔误差不超过1s/d〕。

二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。

秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。

“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。

其原理框图如图1所示。

2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。

数字钟的精度,主要取决于时间标准信号的频率及稳定度。

振荡器的频率越高,计时的精度就越高,但耗电量将增大。

一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。

〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。

分频器的级数和每级的分频次数要根据时基频率来定。

例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。

也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。

〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。

“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。

“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。

多功能数字电子时钟设计

多功能数字电子时钟设计

多功能数字式电子时钟设计说明:本设计内容是利用51单片机最小系统设计一个电子时钟,时钟采用24小时制,时、分、秒各采用2个共阳极LED数码管显示。

具体实现的的功能如下:(1)能够正常显示时钟。

六个LED数码管依次显示时钟的时、分、秒位。

(2)可以完成键盘采样,实现键值判断;(3)实现简单的键盘功能,例如运行键、暂停键处理。

(4)每当整点时,所有LED闪烁显示,显示次数等于整点数。

若为0点钟,则显示正常;(5)实现键盘功能,包括运行键、暂停键、上升键、下降键、切换健、校准健处理。

通过键盘来实现时钟的暂停、运行、调时等功能。

该电子时钟主要由硬件和软件两部分组成,其中硬件部分主要包括:六个七段LED显示器,用来显示时钟的时、分、秒、位。

集成电路74LS240(反相器)和PNP型三极管9012,用于增加驱动电流的目的.还有AT89S51单片机以及RC组成的振荡电路。

软件部分则是通过软件编程利用51单片机来控制时钟,使其正常走动及按照我们的设计想法实现上述的:暂停、运行、调时及校准等功能。

设计完成后的电子钟可实现以下功能:当通电后,时钟开始正常走动。

当按下键后,时钟暂停运行、再按键时钟开始正常走动。

按下键(六)设计思路1、显示电路51单片机与七段LED显示器的接口为动态接口,需使用2组寄存器进行控制。

其中,一组寄存器控制几个显示器的七段发光二极管,该寄存器称为段选寄存器;另一组寄存器控制这几个七段显示器的公共端,控制这几个显示器逐个循环点亮。

适当的选择循环速度,利用人眼的“视觉暂留”效应,使其看上去好像这几个显示器同时在显示一样,该寄存器称为位选寄存器。

时钟的时、分、秒各用两个共阳极的七段LED显示器来显示,因此共需外接6个七段显示器。

所有显示器相同的段并接在一起,由P1口控制。

每个显示器的公共端分别由P3口的某一位控制。

集成电路74LS240(反相器)只起到增加驱动电流的目的,PNP型三极管9012也是为了增加驱动能力。

(数电)多功能数字钟—设计报告

(数电)多功能数字钟—设计报告

1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。

②扩展功能:整点报时。

2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

在功能方面,对于本次综合设计,还要求有校时与整点报时功能。

方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。

校时电路时用来对“时”、“分”显示数字进行校对调整。

3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。

脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。

单片机多功能电子数字钟课程设计报告

单片机多功能电子数字钟课程设计报告

多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。

本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。

数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。

文章的核心主要从硬件设计和软件编程两个大的方面。

硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。

软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。

关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。

这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。

8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。

定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。

16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。

可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。

这种控制功能是通过定时器方式控制寄存器TMOD来完成的。

在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。

技术工作时, 时钟脉冲由TO和T1输入。

中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。

外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。

2.8051的芯片引脚如图1-2所示VCC: 供电电压。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑课程设计
-多功能数字电子钟
多功能数字钟的设计与仿真
一.设计任务与要求
设计任务:
设计一个多功能数字钟。

要求:
1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。

(设计秒脉冲发生器)
2.有整点报时功能。

(选:上下午、日期、闹钟等)
3. 用中规模、小规模集成电路及模拟器件实现。

4. 供电方式: 5V直流电源
二.设计目的、方案及原理
1.设计目的
(1)熟悉集成电路的引脚安排。

(2)掌握各芯片的逻辑功能及使用方法。

(3)了解面包板结构及其接线方法。

(4)了解多功能数字钟的组成及工作原理。

(5)熟悉多功能数字钟的设计与制作
2.设计思路
(1)设计数字钟的时、分、秒电路。

(2)设计可预置时间的校时电路。

(3)设计整点报时电路。

3.设计过程
3.1.总体设计方案及其工作原理为:
数字钟原理框图入图1所示,电路一般包括一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成
数字钟,但也可以用555定时器构成。

图1 系统框图
数字钟计时的标准信号应该是频率相当稳定的1HZ 秒脉冲,所以要设置标准时间源。

数字钟计时周期是24小时,因此必须设置24计数器,秒、分、时由数码管显示。

为使数字钟走时与标准时间一致,校时电路是必不可少的。

设计中采用开关控制校时电路 “时”“分”“秒”计数器进行校时操作。

3.2.各独立功能部件的设计
(1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制)
如下图,图中蓝色线为高电平+5v ,绿色为接地线,红色线为时钟脉冲。

获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。

由于秒和分的显示都为60进制,因此他们可有两级十进制计数器组成,其中秒和分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。

74160和74161具有相同的逻辑符号,引脚图和功能表,各引脚图的功能和用法也相同。

所不同的是74160是十进制,而74161是十六进制。

于是可以用6片74160构成秒计时器、分计时器、时计时器、星期计时器。

秒显示器 整点报时 分频器
振荡器 校时电路
时计数器 时译码器
时显示器
分计数器
分译码器 分显示器 秒计数器 秒译码器
图2 74160引脚及功能表
图3 秒计数器原理图
整个电路主体部分由7块74160芯片组成,从右至左依次编号为C1—C7。

其中芯片C1、C2构成秒计时器,因为74160为十进制,而秒的十位为六进制,所以要改变输出来实现所需要的进制数,芯片C2的QD QC QB QA当输出为0110(即十进制数6)时,与非门输出为
0,清零端使芯片清零。

由于我们用的是异步清零芯片的出示状态为0000所以数码管不显示6,当数码管显示出数字5以后,由于芯片自动清零所以下一时刻数码管显示为0。

芯片C3、C4构成分计时器,原理和秒计时器一样。

只是在低位向高位进位接法有所不同。

但芯片间进位原则是进位高电平持续时间为1秒,使高位芯片工作在计数状态的进位必须受其所有低级芯片控制,否则会出现进位后高位芯片还在计数的情况。

芯片C5、C6构成时计时器,由于小时为24进制,所以,当芯片C5的QB为1并且芯片C6的QC为1时(即此时整个第六位芯片完成24小时计时)此时应让两块芯片强制清零。

所以连接一个与非门,在这个条件成立时,与非门的输出将使芯片强制清零。

由于用的还是异步清零且初始状态为0,所以当第六位芯片的显示电路显示为23点59分59秒时,下一个状态为00点00分00秒。

芯片C7构成星期计时器,由于是7进制,所以只用一块74160芯片。

该芯片工作在计数状态的条件是低位小时芯片计数满24小时,给其ENT时能输入端的高点平持续时间为1秒。

当芯片C7的输出QC、QB、QA输出为1时应该让芯片强制清零。

所以连接一个与非门,在这个条件成立时,与非门的输出将使芯片强制清零。

由于用的还是异步清零且初始状态为0,所以第7位芯片显示电路显示为6时清零,这里我们认为0表示星期日。

该部分电路如图4所示:
图4 星期计时电路
(2)时间校对电路
所谓校准就是根据情况对星期,小时,分钟计时电路根据标准时间进行任意置数。

置数的原理就是让芯片工作在计数状态。

在本电路中进位端是接在ENT使能输入端,只有ENT 出为高电平才能是芯片工作在计数状态。

这样就可以用一单刀双掷开关开关的双掷端一端接高电平为蓝色线,一端接地。

当双掷开关掷红色线段就可对小时和分钟的给位芯片进行独立置数,当个位计数十次后可以自动向十位进一,从而达到小时、分钟独立置数的要求。

星期的置数原理与小时、分钟的个位置数原理相同。

图5 校准电路原理图
(4)报时电路
其原理就是到59分50秒的时候,此电路的指示灯闪烁来达到报时的功能,并且会持续十秒钟。

下图即为报时电路的原理图:
图6报时电路原理图
(5)振荡器
振荡器是计时器的核心,其作用是产生一个标准频率的脉冲信号。

振荡频率的精度和稳度决定了数字钟的质量。

秒脉冲信号可以由集成电路555定时器构成多谐振荡器产生,也可以由函数发生器设置成1HZ的矩形方波来提供。

图7采用集成电路555定时器构成的多谐振荡器。

图7 555定时器构成的秒脉冲发生器
图8是脉冲产生器,它可以直接产生所需要频率的方波。

图8 脉冲产生器。

相关文档
最新文档