38译码器实验报告

合集下载

3-8译码器实验报告

3-8译码器实验报告

3-8译码器实验报告
班级:121 姓名:连森学号:02
1.实验目标与实验要求:
1.理解译码器的概念和意义
2.理解译码器在计算机电路里的作用。

2.实验器材:
Altair 80C31Small 教学实验平台杜邦线
3.实验原理(电路图):
74HC138 是集成3-8 线译码器,能将3 位二进制码转换为8 位输出信号,这8 位输出信号相对于输入的3 位二进制码的8 种编码,始终只有一位输出有效(低电平),其余7 位皆无效(高电平)
4.实验步骤
首先用杜邦线将A3实验区与逻辑开关K1~K6相连的JP1-1~JP1-6,连接到A7实验区与74HC138相连的JP9单号插针;接着在74HC138的输出端连接绿色LED显示器;然后用跳线连接JP39-1与JP39-2,即可接通电源。

5.实验结果(现象):
当K4、K5、K6 打到0、0、1时,译码器74HC138 的逻辑功能有效,相应引脚输出低电平,对应LED 熄灭。

否则,74HC138 始终输出高电平(无效电平),LED 全亮。

3 8译码器实验报告

3 8译码器实验报告

3 8译码器实验报告3 8译码器实验报告引言:在数字电路中,译码器是一种常见的逻辑电路,用于将输入的二进制编码转换为对应的输出信号。

本实验旨在通过搭建一个3 8译码器电路,并对其进行测试和分析,以加深对译码器工作原理的理解。

实验目的:1. 理解3 8译码器的基本原理和工作方式;2. 掌握搭建3 8译码器电路的方法;3. 进行实验测试并分析结果。

实验器材:1. 3 8译码器芯片;2. 逻辑门芯片(与门、非门等);3. 连线板、导线等。

实验步骤:1. 将3 8译码器芯片和逻辑门芯片连接到连线板上;2. 根据芯片引脚的连接要求,使用导线将各个芯片的输入和输出连接起来;3. 将输入信号接入3 8译码器芯片的输入端;4. 将输出信号接入逻辑门芯片的输入端;5. 将逻辑门芯片的输出信号连接到LED灯或其他输出设备上;6. 调整输入信号,观察输出信号的变化。

实验结果:通过实验,我们得到了以下结果:1. 当输入信号为000时,输出信号为00000001;2. 当输入信号为001时,输出信号为00000010;3. 当输入信号为010时,输出信号为00000100;4. 当输入信号为011时,输出信号为00001000;5. 当输入信号为100时,输出信号为00010000;6. 当输入信号为101时,输出信号为00100000;7. 当输入信号为110时,输出信号为01000000;8. 当输入信号为111时,输出信号为10000000。

结果分析:根据实验结果,我们可以看到,3 8译码器将输入的三位二进制编码转换为对应的八位输出信号。

每个输出信号代表一个特定的输入编码。

通过观察输出信号的变化,我们可以清晰地看到译码器的工作原理:根据输入编码的不同,译码器会激活对应的输出线路,将其输出为高电平信号,而其他输出线路则为低电平信号。

实验总结:通过本次实验,我们深入了解了3 8译码器的工作原理和应用场景。

译码器在数字电路中扮演着重要的角色,能够将复杂的二进制编码转换为易于理解和使用的信号输出。

实验七——三八译码器

实验七——三八译码器
Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7
注:输出端低电平有效
A0
A1 A2
S3 S2 S1
2012-1-31
三—八译码器
5
2、三—八译码器的级连 、三—
电 工 电 子 实 验 中 心 多 媒 体 演 示 课 件
2、D3=1时,第一片输出全“1”,第二片工作, 3、综上可知,0000~1111对应Y0~Y15的十六个输出。
三—八译码器
由真值表可写出Y的 逻辑表达式: Y = ABC+ABC+ABC = m5+m6+m7 = m5 m6 m7 = Q5Q6Q7
1 1 0 0 1 1
0 1 0 1 0 1
10
3、用三—八译码器设计组合逻辑电路 、用三—
电 工 电 子 实 验 中 心 多 媒 体 演 示 课 件
A0 A1 A2 S3 S2 S1 Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7
当S1=1,S2=S3=0时有 Q0 = A2A1A0 = m0 Q1 = A2A1A0 = m1 Q2 = A2A1A0 = m2 Q3 = A2A1A0 = m3 Q4 = A2A1A0 = m4 Q5 = A2A1A0 = m5 Q6 = A2A1A0 = m6 Q7 = A2A1A0 = m7 S取其它值时,输出全1
2012-1-31
三—八译码器
13
电 工 电 子 实 验 中 心 多 媒 体 演 示 课 件
五、注意事项
设计电路时一定要注意各变量的对应关系 注意三个控制端在不用时的处理
2012-1-31
三—八译码器
14
电 工 电 子 实 验 中 心 多 媒 体 演 示 课 件
六、预习内容

38译码器

38译码器

实验五 译码器、数据选择器及其应用一、实验目的1.掌握中规模集成译码器、数据选择器的逻辑功能和使用方法。

2.了解译码器的应用。

3.学习用数据选择器构成组合逻辑电路的方法。

二、实验原理1.译码器是将输入的具有特定含义的二进制代码翻译成输出信号的不同组合,实现电路控制功能的逻辑电路。

译码器在数字系统中应用广泛,可用于代码的转换、终端数字的显示、数据的分配等等。

译码器可分为变量译码器和显示译码器。

74LS138是目前常用的三线——八线译码器(变量译码器),它有三根输入线,可以输入三位二进制数码,共有八种状态组合,即可译出8个输出信号。

管脚图如图1所示。

该集成芯片共有16个引脚,其中8脚应接地线,16脚接+5V 电源,脚0A 、1A 、2A 为二进制编码输入端(2A 为高位,0A 为低位);0Y ~7Y 为译码输出端(7Y 为高位,0Y 为低位),1E 、A E 2、B E 2为信号输入允许端,也称使能端。

A E 2、B E 2为低电平有效(图中用管脚处的圆圈来表示低电平有效),1E 为高电平有效。

只有信号输入允许端有效时输入的信号才有效,才可能实现译码。

74LS138的功能见表一。

图1 74LS138管脚和符号图表一 74LS138的逻辑功能2.74LS138可用作函数信号发生器,如图2所示,实现的逻辑函数是ABC C B A C B A C B A Z +++= 图23.数据选择器又叫“多路开关”。

数据选择器在选择控制电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。

数据选择器的功能类似一个多掷开关,,如图3所示,图中有四路数据0D ~3D ,通过选择从控制信号1A 、0A 从四路数据中选中某一路数据送至输出端W 。

数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。

8选1数据选择器74LS151:74LS151引脚排列如图3,功能如表二。

3-8译码器和模13BCD码计数器实验报告

3-8译码器和模13BCD码计数器实验报告

成绩指导教师日期2011-4-8 XXX 大学实验报告实验课程名称:电子系统EDA院系名称:信息学院专业名称:通信工程实验项目名称:3-8译码器和模13BCD码计数器班级:XXXXX 学号:XXXX报告人:XXXX实验一 3-8译码器和模13BCD码计数器一、实验目的:1、练习使用QuartusⅡ软件进行设计输入、设计仿真;2、掌握基本组合逻辑电路和基本时序电路的实现方法。

二、实验原理:1、3-8译码器是常用的组合逻辑电路,其功能是对3位码进行译码,下面是74138的真值表。

表一:74138真值表2、模13BCD码计数器是基本时序电路,其功能是对输入脉冲进行计数,下面是其真值表。

表二:模13真值表输入输出CLK CLR Q1D Q1C Q1B Q1A Q0D Q0C Q0B Q0Ax 1 0 0 0 0 0 0 0 0↑0 0 0 0 0 0 0 0 1↑0 0 0 0 0 0 0 1 0↑0 0 0 0 0 0 0 1 1↑0 0 0 0 0 0 1 0 0↑0 0 0 0 0 0 1 0 1↑0 0 0 0 0 0 1 1 0↑0 0 0 0 0 0 1 1 1↑0 0 0 0 0 1 0 0 0↑0 0 0 0 0 1 0 0 1↑0 0 0 0 1 0 0 0 0↑0 0 0 0 1 0 0 0 1↑0 0 0 0 1 0 0 1 0↑0 0 0 0 0 0 0 0 0三、原理图:1、3-8译码器原理图图一:3-8译码器原理图2、模13计数器原理图图二:模13计数器原理图四、仿真结果:1、3-8译码器仿真结果图三:3-8译码器仿真结果图2、模13BCD码计数器仿真结果图四:模13BCD码计数器仿真结果图五、设计心得1、设计38译码器的时候要注意使能端G1接高电平,G2A、G2B接低电平,否则不会译码。

如果使用总线输出,原理图将更简便。

2、设计模13 计数器注意74160是异步清零,所以用总体清零法实现电路时要把与非门接在13对应的的3个1上,然后连接CLK。

实验2 三八译码器设计

实验2 三八译码器设计

电子信息工程学系实验报告课程名称: EDA技术与实验实验项目名称: 实验二三八译码器设计实验时间: 2011.9.5班级: 姓名: 学号:实验目的:1.熟悉ALTERA公司EDA设计工具软件max+plusⅡ。

2.掌握max+plusⅡ文本设计及其仿真。

实验环境:max+plusⅡ实验内容及过程:1.三八译码器的工作原理由三个输入端A,B,C和八个输出端Y0,Y1,Y2,Y3,Y4,Y5 ,Y6,Y7组成, 输入输出用二进制表示。

三八译码器真值表A2 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y70 0 0 0 1 1 1 1 1 1 10 0 1 1 0 1 1 1 1 1 10 1 0 1 1 0 1 1 1 1 10 1 1 1 1 1 0 1 1 1 11 0 0 1 1 1 1 0 1 1 11 0 1 1 1 1 1 1 0 1 11 1 0 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 1 02.原理图设计2.文本设计3.打开File点击New选择文本文件, 点击OK.进行编程, 再保存4.建立工程。

运行File, Project,Set Project to Current File,讲工程设置到当前文件。

5.编译工程。

在MAX+PLUS II 菜单内选择Compiler 项, 选择Start即可开始编译。

选择菜单“File”→“New”, 在出现的“New”对话框中选择“Waveform Editor File”, 按“OK”后将出现波形编辑器子窗口。

选择菜单“Node ”→“Enter Nodes from SNF”, 出现选择信号结点对话框。

按右上侧的“List”按钮, 左边的列表框将立即列出所有可以选择的信号结点, 然后按中间的“=>”按钮, 将左边列表框的结点全部选中到右边的列表框。

按“OK”按钮, 选中的信号将出现在波形编辑器中7将波形图保存为.scf8.选择主菜单“MAX+plus II”→“Simulator”, 按下“Simulator”, 出现仿真参数设置与仿真启动窗, 这时按下该窗口中的“Start”按钮, 即刻进行仿真运算。

eda第二次实验 38译码器

eda第二次实验 38译码器

实验二组合逻辑电路的VHDL模型实验一、实验目的1、掌握组合逻辑和时序逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

加深FPGA设计的过程,并比较原理图输入和文本输入的优劣。

3、了解通用同步计数器,异步计数器的使用方法。

4、理解积分分频器的原理。

二、硬件要求拨位开关、FPGA主芯片EP1K30QC208、LED显示模块三、实验原理译码器是输入数码和输出数码之间的对应关系,也就是说,“输入码和输出码之间的对应表”这应该算是设计译码器的必须条件。

译码器常用来做码和码之间的转换器,也常被用于地址总线或用作电路的控制线。

例如下面为常见的3×8译码器的真值表:实验中可根据需要,为3×8译码器加入使能控制脚。

一般的分频器可获得的分频频率种类分布不均匀,积分分频,能比较好的解决这个问题。

1、分频结果=来源频率×N/(2ⁿ-1);2、频率波形不均匀。

四、实验内容及步骤本实验内容是完成38译码器和5/8分频器的设计,然后将3×8译码器的结果在实验箱上实现,5/8分频器则能正确仿真、显示,实验步骤如下:1、编写3×8译码器的VHDL代码。

2、用MaxPlusII对其进行编译仿真。

3、在仿真确定无误后,选择芯片ACEX1K EP1K30QC208。

4、给芯片进行管脚绑定,在此进行编译。

5、根据自己绑定的管脚,在实验箱上对键盘接口、显示接口和FPGA之间进行正确连线。

6、给目标板下载代码,在开关输入键值,观看实验结果。

7、编写5/8分频器的VHDL代码。

8、用MaxPlusII对其进行编译仿真。

9、使用WaveForm进行波形仿真。

五、程序代码1. 38译码器library ieee;use ieee.std_logic_1164.all;entity a3toy8 isport (en:in std_logic;a:in std_logic_vector(2 downto 0);b:in std_logic_vector(2 downto 0);y:out std_logic_vector(7 downto 0);YM: out std_logic_vector(7 downto 0));end entity a3toy8;architecture a3y8 of a3toy8 issignal t:std_logic_vector(3 downto 0);signal v:std_logic_vector(3 downto 0);begint <= en & a(2 downto 0);process(t(3 downto 0))begincase t(3 downto 0) iswhen "1000" => Y(7 downto 0) <= "00000001";when "1001" => Y(7 downto 0) <= "00000010";when "1010" => Y(7 downto 0) <= "00000100";when "1011" => Y(7 downto 0) <= "00001000";when "1100" => Y(7 downto 0) <= "00010000";when "1101" => Y(7 downto 0) <= "00100000";when "1110" => Y(7 downto 0) <= "01000000";when "1111" => Y(7 downto 0) <= "10000000";when others => Y(7 downto 0) <= "00000000";end case;end process;v <= t;process(v(3 downto 0))begincase v(3 downto 0) isWHEN "1000" => YM(7 downto 0) <= "01100000";WHEN "1001" => YM(7 downto 0) <= "11011010";WHEN "1010" => YM(7 downto 0) <= "11110010";WHEN "1011" => YM(7 downto 0) <= "01100110";WHEN "1100" => YM(7 downto 0) <= "10110110";WHEN "1101" => YM(7 downto 0) <= "10111110";WHEN "1110" => YM(7 downto 0) <= "11100000";WHEN "1111" => YM(7 downto 0) <= "11111110";when others => YM(7 downto 0) <= "00000000";end case;end process;end architecture a3y8;本代码是通过控制拨动开关来控制led灯,并且在数码管上显示发亮的是第几盏led。

EDA实验一38译码器设计

EDA实验一38译码器设计

《电子设计自动化》实验报告实验一实验名称:3-8译码器的设计专业及班级:姓名:学号:一、实验目的:1.掌握组合逻辑电路的设计方法。

2.掌握 VHDL 语言的基本构造及设计的输入方法。

3.掌握 VHDL 语言的基本描绘语句的使用方法。

二、实验步骤(附源代码及仿真结果图):1.成立工程, Quartus II -- project wizard (注意工程目录中不可以出现中文字符,不可以成立在桌面上);弹出窗口如图 2-3 所示。

图 2-3 New Project Wizard 窗口2.点击next,在出现的对话框中输入以下项目信息:a.项目路径,如: D:\EDA experiment\decoder38; b.项目名称,如: decoder38。

如图 2-4 所示:图 2-4 项目路径和项目名称对话框3.点击 2 次 next 后,出现如图 2-5 所示的对话框:a.Device family 中选择 Cyclone IV E;b.Available devices 中选择 EP4CE115F29C7.图 2-5器件选择窗口4.点击next后,出现EDA工具设置对话框。

在Simulation一行中, Tool Name 选择ModelSim-Altera , Fomat(s)选择 VHDL ,如图 2-6 所示。

图 2-6 EDA 工具设置对话框5.点击 next,出现如图 2-7 所示的对话框:图 2-7 新建项目汇总对话框6. 点击 Finish 后,出现如图2-8 所示的界面:图 2-8 decoder38 项目界面7.点击 File->New->VHDL File ,如图 2-9 所示。

点击 ok 封闭对话框。

图 2-9 新建 VHDL 文件窗口8.在文本编写框内键入以下程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38 ISPORT(A, B,C,G1,G2A,G2B: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END decoder38;ARCHITECTURE Behavior OF decoder38 ISSIGNAL indata: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGINindata <= C&B&A;PROCESS (indata, G1, G2A,G2B)BEGINIF (G1='1' AND G2A='0' AND G2B='0') THENCASE indata ISWHEN "000"=>Y<="11111110";WHEN "001"=>Y<="11111101";WHEN "010"=>Y<="11111011";WHEN "011"=>Y<="11110111";WHEN "100"=>Y<="11101111";WHEN "101"=>Y<="11011111";WHEN "110"=>Y<="10111111";WHEN "111"=>Y<="01111111";WHEN OTHERS =>Y<="XXXXXXXX";END CASE;ELSEY<="11111111";END IF;END PROCESS;END Behavior;9. 将文件保留为decoder38.vhd 后,开始编译,点击Processing->Start Compilation ,编译成功后,出现如图2-10 所示界面:图 2-10 编译成功界面10.再次新建一个 vhdl 文件,键入以下的 modelsim 测试程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38_tb ISEND decoder38_tb;ARCHITECTURE Behavior OF decoder38_tb ISCOMPONENT decoder38PORT (A,B,C,G1,G2A,G2B: IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END COMPONENT;SIGNAL A: STD_LOGIC:='0';SIGNAL B : STD_LOGIC:='0';SIGNAL C: STD_LOGIC:='0';SIGNAL G1: STD_LOGIC:='1';SIGNAL G2A: STD_LOGIC:='0';SIGNAL G2B: STD_LOGIC:='0';SIGNAL Y: STD_LOGIC_VECTOR(7 DOWNTO 0);CONSTANT CLK_PERIOD: TIME:=10ns;BEGINA<=not A after CLK_PERIOD;B<=not B after 20ns;C<=not C after 40ns;U1: decoder38 port map(A=>A,B=>B,C=>C,G1=>G1,G2A=>G2A,G2B=>G2B,Y=>Y);END behavior;11.将文件保留为 decoder38_tb.vhd ,编译经过。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

38译码器实验报告
实验原理:
译码器是数字电路中的组合逻辑电路,它的作用是把二进制码组转换为相应的十进制
数或BCD码。

由于是多对一的映射关系,故称为译码器。

常用的译码器有十进制译码器、BCD译码器、7段译码器(数码管译码器)等。

本次实验使用的是常用的数字电路集成电路74HC138,它是一个三-八行数码管译码器,能将3位二进制码译成8种不同的输出。

实验内容:
1. 搭建实验电路:将74HC138译码器与LED灯和电路板上的电源和接地线连接。

2. 上电测试:将电路板插到插座上,上电后,LED灯按照二进制码的不同组合依次闪烁。

3. 换成7段数码管:将LED灯换成7段数码管,上电后,数码管能够显示不同数字。

实验步骤:
1. 准备材料:电路板、74HC138译码器、LED灯、7段数码管、220欧姆电阻、杜邦线、面包板、数字万用表等。

2. 按照示意图,在面包板上连接电路,连接如下:
将电源和接地线连接到面包板中。

将74HC138译码器的8个输出引脚连接到面包板的8个LED灯的阳极上,并通过220
欧姆电阻连接到接地线上。

同时,将74HC138译码器的3个选择输入引脚连接到面包板的
数字端口(1-3号端口)。

74HC138的数据输入引脚不连接。

将7段数码管的A-G引脚连接到面包板的数字端口(4-10号端口),将7段数码管的DP引脚接到接地线上。

3. 检查电路连接:确保每个引脚都连接到正确的端口。

使用数字万用表进行连通性
测试。

5. 更换电路元件:将LED灯换成7段数码管。

使用数字万用表确认7段数码管引脚与数字端口的连接关系。

6. 上电测试:再次上电,调整数字端口上的开关,能够让7段数码管显示不同的数字。

实验结果:
经过搭建和调试,我们成功实现了74HC138译码器的上电测试和数码管显示的功能。

我们通过手动改变数字端口上的开关状态,成功地改变了LED灯的亮灭顺序和7段数码管的显示数字。

实验结果显示,译码器具有将二进制码组转换为相应十进制数或BCD码的功能,能够广泛应用于数字电路中。

通过本次实验,我们深入了解了数字电路中的译码器。

实验中我们使用了74HC138译码器,成功搭建了电路并调试通过。

我们学会了如何连接基本的电路元件,使用数字端口上的开关进行控制,同时调试和测试电路的基本方法。

本次实验对我们进一步深入学习数字电路提供了重要的参考和实践。

相关文档
最新文档