8.9二进制振幅键控(ASK)调制器与解调器设计
ASK调制与解调实验

2ASK调制与解调一、实验目的:(1)掌握2ASK的调制与解调原理。
(2)学会运用Matlab编写2ASK调制程序。
(3)会画出原信号和调制信号的波形图。
(4)掌握数字通信的2ASK调制方式。
二、实验原理分析1、二进制振幅键控(2ASK)频移键控是利用载波的幅度变化来传递数字信息,而其频率和初始相位保持不变。
在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1”。
二进制振幅键控的表达式为:s(t) = A(t)cos(w+θ) 0<t≤T式中,w0=2πf为载波的角频率;A(t)是随基带调制信号变化的时变振幅,即A(t) =⎩⎨⎧A典型波形如图1所示:图12ASK信号的产生方法通常有两种:相乘法和开关法,相应的调制器如图2。
图2(a)就是一般的模拟幅度调制的方法,用乘法器实现;图2(b)是一种数字键控法,其中的开关电路受s(t)控制。
在接收端,2ASK有两种基本的解调方法:非相干解调(包络检波法)和相干解调(同步检测法),相应的接收系统方框图如图:三、附录2ASK调制matlab程序:clear all;close all;clc;max = 8;s=[1 1 0 1 1 0 1 0];cp=[];fs=100;fc=1;t1=(0:1/fs:8);f=1;%载波频率tc=0:2*pi/99:2*pi;nsamp = 100;cm=[];mod=[];for n=1:length(s);if s(n)==0;m=zeros(1,nsamp);b=zeros(1,nsamp);else s(n)==1;m=ones(1,nsamp);b=ones(1,nsamp);endc = sin(f*tc);cm=[cm m];cp = [cp b];mod=[mod c];endtiaozhiqian=sin(2*pi*t1*fc);tiaozhi=cm.*mod;%2ASK调制t = linspace(0,length(s),length(s)*nsamp); figure;subplot(3,1,2);plot(t,cp);grid on;axis([0 length(s) -0.1 1.1]);title('二进制信号序列');subplot(3,1,1);plot(t1,tiaozhiqian);grid on;%axis([0 length(s) -1.1 1.1]);title('未调制信号');subplot(3,1,3);plot(t,tiaozhi);grid on;axis([0 length(s) -1.1 1.1]);title('2ASK调制信号');图1 2ASK调制2ASK解调matlab程序:%加性高斯白噪声信道tz=awgn(tiaoz,10);%信号tiaoz中加入白噪声,信噪比为SNR=10dB figure;subplot(2,1,1);plot(t,tz);grid onaxis([0 length(s) -1.5 1.5]);title('通过高斯白噪声信道后的信号');jiet = mod.*tz;%相干解调subplot(2,1,2);plot(t,jiet);grid onaxis([0 length(s) -1.5 1.5]);title('乘以相干载波后的信号波形')图2 2ASK解调六、总结与心得体会通过实验,基本掌握了MATLAB的基本功能和使用方法,对数字基带传输系统有了一定的了解,加深了对2ASK的调制原理的认识,理解了如何对他进行调制,通过使用MATLAB仿真,对个调制和解调电路中各元件的特性有了较为全面的理解。
二进制数字幅度调制

B2 ASK
2Bg
2 Tb
2 fb
因为系统的传码率RB=1/Tb(Baud),故2ASK系统的频带利
用率为
1
rB
Tb 2
fb 2 fb
1 (Buaud/ Hz) 2
Tb
3. 2ASK信号的解调及系统误码率
e2 AS K(t)
带通
a
滤波 器
全波 整流 器
b
低通
滤波 器
(a)
c
抽样
判决 器
定时 脉冲
时可近似地得出系统误码率为
Pe
1
e
r 4
2
式中r=A2/(2
2 n
)为输入信噪比。由此可见,包络解调2ASK系
统的误码率随输入信噪比r的增大,近似地按指数规律下降。
2. 相干解调时2ASK系统的误码率
其接收带通滤波器BPF的输出为:
y(t
)
A
cosct nc (t) nc (t) cosct
(2) 基于同样的原因,我们可以知道,上面所述的2ASK信
号实际上相当于双边带调幅(DSB)信号。因此,由图7 可以看出,
2ASK信号的带宽B2ASK是单极性数字基带信号Bg的两倍。当数
字 基 带 信 号 的 基 本 脉 冲 是 矩 形 不 归 零 脉 冲 时 , Bg=1/Tb 。 于 是
2ASK信号的带宽为
则二进制振幅键控信号的功率谱密度P2ASK(f)为
P2 ASK (
f
)
1 16
Ts
S
a2
[
(
f
fs
fc ) ]
Sa2[ (
f
fs
fc
)
振幅键控(ASK)调制与解调实验报告

TH7:FSK-NRZ经过反相后信号观测点。
FSK-OUT:FSK调制信号输出点。
FSK解调模块:
TH7: FSK调制信号经整形1后的波形观测点。
TH8:FSK调制信号经单稳(U10A)的信号观测点。
TH9:FSK调制信号经单稳(U10B)的信号观测点
TH10:FSK调制信号经两路单稳后相加信号观测点。
3、以信号输入点“ASK-NRZ”的信号为内触发源,用示波器观察点“ASK-OUT”输出,即为PN码经过ASK调制后的波形。
4、通过信号源模块上的拨码开关s4控制产生PN码的频率,改变送入的基带信号,重复上述实验;也可以改变载波频率来试验。
(二)ASK解调实验
1、接着上面ASK调制试验继续Leabharlann 线。源端口四、基本原理
调制信号为二进制序列时的数字频带调制称为二进制数字调制。由于被调载波有幅度、频率、相位三个独立的可控参量,当用二进制信号分别调制这三种参量时,就形成了二进制振幅键控(2ASK)、二进制频移键控(2FSK)、二进制移相键控(2PSK)三种最基本的数字频带调制信号,而每种调制信号的受控参量只有两种离散变换状态。
1、ASK调制原理。
首先,因2ASK信号的特征是对载波的“通—断键控”用一个模拟开关作为调制载波的输出通/断控制们,有二进制序列S(t)控制门的通断,S(t)=1时开关导通;S(t)=0时开关截至,这种调制方式称为通-断键控法。其次,2ASK信号视为S(t)与载波的乘积,故用模拟乘法器实现2ASK调制也是很容易想到的另一种方式。
模块3:FSK-NRZ
S4拨为“1100”,PN是8k伪随机码
信号源:128k同步正弦波
模块3:载波A
提供FSK调制A路载波,幅度为4V
二进制振幅键控

二进制振幅键控一、实验目的1、掌握2ASK调制原理及其实现方法2、掌握2ASK解调原理及其实现方法3、了解线性调制时信号的频谱变化二、实验内容1、理解2ASK 的调制和解调原理并用SystemView 软件仿真其实现过程2、用SystemView 分析二进制振幅键控信号频谱的变化三、实验原理1、调制二进制振幅键控(2ASK):用二进制的数字信号去调制载波的振幅。
即传“1”信号时发送载波,传“0”信号时送0 电平。
这种调制也称为通(on)断(off)键控OOK2ASK 的时域表达式为:其中g(t)是持续时间为Ts的矩形脉冲令则调制信号实现2ASK 调制方法有两种框图如图2-1 所示图2-1 调制框图由于二进制的随机脉冲序列是一个随机过程,调制后的二进制数字信号也是一个随机过程因此在频率域中只能用功率谱密度表示如图2-2所示功率谱密度示意图:2ASK 信号功率谱密度的特点如下:(1)由连续谱和离散谱两部分构成:连续谱由信号的波形g(t)经线性调制后,决定离散谱由载波分量决定(2)已调信号的带宽是基带脉冲波形带宽的二倍,即BASK =2fs(3)已调信号的第一旁瓣峰值比主峰值衰减14dB.2 解调2ASK 的解调方法有两种:非相干解调(包络解调)和相干解调(同步检测)解调原理如图2-3 所示:图2-3 2ASK 信号解调框图四、2ASK 调制解调系统的SystemView 仿真1、仿真原理图2 所用器件参数设定系统时钟No. of Sample: 1024; Sample Rate: 20000Hz; No.of System Loop: 1五、实验结果及结果分析1、输入的数字基带信号调制信号解调信号波形图在数字基带信号的作用下被调制,数字基带信号为1 时输出原波形,否则输出0。
2、抽样判决前后的信号波形可以看出抽样判决使数字信号的接收性能得到提高:调制信号的功率谱密度如下:六、思考题1 本实验中实现的是DSB 调制还是SSB 调制?为什么?实验分析两种调制方式下,调制输出信号的功率幅度与基带信号载波信号功率幅度的关系实现的是DSB调制,因为从调制信号的功率谱密度图可以看出,在载波1000Hz的左右对称位置上其实就是基带脉冲波形(矩形脉冲的功率谱平移后得到的。
ASK

ASK调制方法
数字信号对载波振幅调制称为振幅键控 即 ASK(Amplitude-Shift Keying)。 ASK有两种实现方法: ASK 1.乘法器实现法 2.键控法
1.乘法器实现法
乘法器实现法的输入是随机信息序列,经过 基带信号形成器,产生波形序列,乘法器用 来进行频谱搬移,相乘后的信号通过带通滤 波器滤除高频谐波和低频干扰。 带通滤波器的输出是振幅键控信号。 乘法器常采用环形调制器。
与同步解调相似,为使误码率最小,判决电平应 和 的交点的横坐标值,如 图中 , 称为最佳门限,经分析,得到 当信噪比 (即大信噪比)时,
ASK调制VHDL程序及仿真
ASK调制方框图
注:图中没有包含模拟电路部分,输出信号为数字信号。
ASK调制电路符号
ASK调制VHDL程序
--文件名:ASK.vhd --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 --最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK is port(clk :in std_logic; --系统时钟 start:in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end ASK; architecture behav of ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号
二进制振幅键控(ASK)调制器与解调器设计

课程设计任务书学生姓名:专业班级:通信指导教师:工作单位:信息工程学院题目: 二进制振幅键控(ASK)调制器与解调器设计要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-Shift Keying)。
ASK有两种实现方法:1.乘法器实现法2.键控法为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。
ASK解调方法有两种1. 同步解调法2. 包络解调法。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (I)Abstract .......................................................................................................................... I I 1. 绪论 .. (1)1.1 本课题的研究现状 (1)1.2 选题目的意义 (1)2.2ASK系统工作原理及数学模型 (2)2.1 2ASK的调制原理及设计方法 (2)3.2ASK各个模块的设计 (4)3.1 2ASK的调制部分 (4)3.2 2ASK解调部分 (4)4.VHDL程序设计 (5)4.1 2ASK调制部分程序设计 (5)4.2 2ASK解调程序设计 (6)5. 2ASK的仿真结果及分析 (7)5.1Quartus II的介绍 (7)5.2Quartus II的优点 (7)5.3 2ASK调制仿真 (8)5.4 2ASK解调仿真 (9)6.总结 (12)7.参考文献 (13)附录 (14)摘要从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。
【精编】振幅键控ASK调制电路PPT课件

音近字检测
善长( 擅 ) 凋弊( 敝 ) 相辅相承( 成 ) 亲睐( 青 ) 附合( 和 ) 变本加利( 厉 ) 糟塌( 踏蹋) 极至( 致 ) 关怀倍至( 备 ) 热钟( 衷 ) 徇职( 殉 ) 婷婷玉立( 婷 ) 题纲( 提 ) 辩别( 辨 ) 陈词烂调( 滥 ) 精萃( 粹 ) 泻露( 泄 ) 惮精竭虑( 殚 ) 殒落( 陨 ) 舞敝( 弊 ) 苦心孤旨( 诣 ) 璀灿( 璨 ) 装璜( 潢 ) 史无前列( 例 )
zxxkw
嬴弱( 羸 ) 欧打( 殴 ) 一触既发( 即 ) 杀戳( 戮 ) 云宵( 霄 ) 独辟溪径( 蹊 ) 编篡( 纂 ) 呕气( 怄 ) 心无旁鹜( 骛 ) 迁徒( 徙 ) 惦量( 掂 ) 饮鸠止渴( 鸩 ) 膺品( 赝 ) 机抒( 杼 ) 坚如磬石( 磐 ) 修茸( 葺 ) 挛生( 孪 ) 虎视耽耽( 眈 )
振幅键控ASK调制电路
数字信号对载波的调制与模拟信号对载波 的调制类似,它同样可以去控制正弦振荡的振 幅、频率或相位的变化。但由于数字信号的特 点——时间和取值的离散性,使受控参数离散 化而出现“开关控制”,称为“键控法”。
数字信号对载波振幅调制称为振幅键控 即ASK(Amplitude-Shift Keying),ASK 有两种实现方法:乘法器实现法和键控法。乘 法器实现法的调制器方框图如图6.6.1所示, 它的输入是随机信息序列,以{Ak}所示。经过 基带信号形成器,产生波形序列,设形成器的 基本波形为g(t),则波形序列为
立杆见影 竿 合盘托出 和 既往不究 咎 急待解决 亟 大才小用 材 走上正规 轨 既往开来 继 重要枢钮 纽 无尚光荣 上zxxkw 俯首贴耳 帖 以德抱怨 报 灿然一笑 粲 前扑后继 仆 化妆侦察 装 按步就班 部 璀粲夺目 璨 乌烟胀气 瘴 九洲同庆 州 战略布署 部 憋脚文章 蹩 份内工作 分 修养生息 休 食不裹腹 果 宣泄感情 √
二进制振幅键控(2ASK)数字调制系统仿真和分析课程设计

课程设计课程设计名称:二进制振幅键控(2ASK)数字调制系统仿真和分析专业班级:电信1001学生姓名:Donalsly 学号:201046830113指导教师:课程设计时间:2013年09月07电子信息工程专业课程设计任务书1 需求分析1、 主要内容:对二进制数字信源进行振幅键控调制(2ASK ),画出信号波形及功率谱。
并分析其性能。
2、 任务要求:(1) 掌握二进制振幅键控(2ASK )数字调制系统的原理及实现。
(2) 用MATLAB 产生独立等概的二进制信源。
画出2ASK 信号波形及其功率谱。
2 概要设计图1 二进制振幅键控信号调制器原理框图)(a )开关电路)(b )图2 二进制振幅键控(2ASK)数字调制程序设计框图3 运行环境1.Windows 7系统2.MATLAB7.1软件4 开发工具和编程语言开发工具:MATLAB软件编程语言:汇编语言5 详细设计算法实现的源程序:(1)主程序: 实现设计一个正弦信号ht和产生二进制随机信号gt、2ASK信号产生及功率频谱图clear all;close all;A=1;fc=2;N_sample=8;N=550;Ts=1;dt=Ts/fc/N_sample;t=0:dt:N*Ts-dt;Lt=length(t);d=sign(randn(1,N));dd=sigexpand((d+1)/2,fc*N_sample);gt=ones(1,fc*N_sample);figure(1)subplot(221);d_NRZ=conv(dd,gt);plot(t,d_NRZ(1:length(t)));axis([0 10 0 1.2]);ylabel('输入信号');figure(2)[f,d_NRZf]=T2F(t,d_NRZ(1:length(t)));plot(f,10*log10(abs(d_NRZf).^2));axis([-2 2 -50 30]);ylabel('输入信号功率谱密度(dB/Hz)');ht=A*cos(2*pi*fc*t);s_2ask=d_NRZ(1:Lt).*ht;figure(3)plot(t,s_2ask);axis([0 10 -1.2 1.2]);ylabel('OOK');[f,s_2askf]=T2F(t,s_2ask);figure(4)plot(f,10*log10(abs(s_2askf).^2));axis([-fc-4 fc+4 -50 10]);ylabel('OOK功率谱密度(dB/Hz)');(2)子函数sigexpand实现产生二进制随机信号function [out] = sigexpand(d,M)N = length(d);out = zeros(M,N);out(1,:) = d;out = reshape(out,1,M*N);end(3)子函数T2F实现信号变换得到频谱function [f,sf] = T2F(t,st)dt=t(2)-t(1);T=t(end);df=1/T;N=length(st);f=-N/2*df:df:N/2*df-df;sf=fft(st);sf=T/N*fftshift(sf);end6 调试分析二进制数字信源进行数字调制(2ASK)系统,首先输入二进制信号是一个随机产生的0和1独立等概的二进制信源,其波形如下图3所示,其频谱如下图4所示,再给定一个正弦波信号,当该二进制信号与正弦波一起调制时,得到2ASK 信号,其波形如下图5所示,由图可看出,当二进制输入为0时,2ASK信号幅度为0,当二进制信号输入为1时,2ASK信号为输入的正弦波信号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
注:a.基带码长等于载波f的6个周期。 b. 输出的调制信号y滞后于输入基带信号x一个clk时间。
ASK解调VHDL程序及仿真
ASK解调VHDL程序
--文件名:ASK2
--功能:基于VHDL硬件描述语言,对ASK调制信号进行解调
--最后修改日期:2004.2.12
library ieee;
--最后修改日期:2004.3.16
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ASK is
port(clk :in std_logic;
signal f :std_logic;
--载波信号
begin
process(clk)
begin
if clk'event and clk='1' then
if start='0' then q<=0;
elsif q<=1 then f<='1';q<=q+1;
--改变q后面数字的大小,就可以改变载波信号的占空比
--系统时钟
start:in std_logic;
--开始调制信号
x :in std_logic;
--基带信号
y :out std_logic);
--调制信号
end ASK;
architecture behav of ASK is
signal q:integer range 0 to 3; --分频计数器
--if语句完成q的循环计数
elsif q=11 then q<=0;
--寄存x信号
signal m:integer range 0 to 5;
--计xx的脉冲数
begin
process(clk)
--对系统时钟进行q分频,
begin
if clk'event and clk='1' then xx<=x; --clk上升沿时,把x信号赋给中间信号xx
if start='0' then q<=0;
和 的交点的横坐标值,如 图中
,
称为最佳门限,经分析,得到
当信噪比 (即大信噪比)时,
ASK调制VHDL程序及仿真
ASK调制方框图
注:图中没有包含模拟电路部分,输出信号为数字信号。
ASK调制电路符号
ASK调制VHDL程序
--文件名:ASK.vhd
--功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制
(ASK包络解调方框图)
发“1”码时的情况
包络检波器的输入 为,为信号加窄带 高斯噪声,输出为 信号加窄带高斯噪 声的包络,它服从 莱斯分布,如左图 所示。
其概率密度为
发“0”码时的情况
包络检波器输入为
,输出 则为的包络,
即噪声的包络,它服从瑞利分布,如上页图所示。
其概率密度为
与同步解调相似,为使误码率最小,判决电平应
8.9二进制振幅键控(ASK) 调制器与解调器设计
ASK调制方法
数字信号对载波振幅调制称为振幅键控 即 ASK(Amplitude-Shift Keying)。
ASK有两种实现方法: 1.乘法器实现法 2.键控法
1.乘法器实现法
乘法器实现法的输入是随机信息序列,经过 基带信号形成器,产生波形序列,乘法器用 来进行频谱搬移,相乘后的信号通过带通滤 波器滤除高频谐波和低频干扰。
种形式的受基带信号控制的电子开关来实现,代替电键产生
ASK信号,上图所示就是以数字电路实现键控产生ASK信号的实
例。该电路是用基带信号控制与非门的开闭,实现ASK调制,
产生
信号。
ASK解调方法有两种
1. 同步解调法 2. 包络解调法。
1.同步解调
同步解调也称相干解调,
信号经过带通滤波器
抑制来自信道的带外干扰,乘法器进行频谱反向搬
经过低通滤波器后,输出信号为x(t),
x(t)也是取样判决器的输入信号。 综合上面的分析,可得
下面讨论判决问题。 若没有噪声,上式简化为
此时判决电平取0~A的中间值A/2,大于A/2判为 “1”码,小于A/2判为“0”码。在无噪声时,判 决一定是正确的。
2.包络解调
包络解调是一种非相干解调
--同步信号
x :in std_logic;
--调制信号
y :out std_logic);
--基带信号
end ASK2;
architecture behav of ASK2 is
signal q:integer range 0 to 11; --计数器
signal xx:std_logic;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ASK2 is
port(clk :in std_logic;
--系统时钟
start :in std_logic;
elsif q=3 then f<='0';q<=0;
--改变q后面数字的大小,就可以改变载波信号的频率
else f<='0';q<=q+1;
end if;
end if;
end process;
y<=x and f;
--对基带码进行调制
end behav;
ASK调制VHDL程序仿真图及注释
带通滤波器的输出是振幅键控信号。
乘法器常采用环形调制器。
2.键控法
键控法是产生ASK信号的另一种方法。二元制ASK 又称为通断控制(OOK)。最典型的实现方法是 用一个电键来控制载波振荡器的输出而获得。
uASK (t)
(键控法产生ASK信号原理框图 )
数字电路实现键控产生ASK信号的实例
为适应自动发送高速数据的要求,键控法中的电键可以利用各
移,以恢复基带信号。低通滤波器用来抑制相乘器
产生的高次谐波干扰。
(1)发“1”码时情况
发“1”码时,输入的ASK信பைடு நூலகம்为
,它能顺利
地通过带通滤波器。 为零均值的高斯白噪声,经
过带通滤波器后变为窄带高斯噪声,用 表示。
经过低通滤波器后,输出信号为x(t),
也就是取样判决器的输入信号。
(2)发“0”码时情况 发“0”码时,ASK信号输入为0,噪声仍然存在,